自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 资源 (9)
  • 收藏
  • 关注

原创 7系列FPGA HR/HP I/O区别

HR = High Range I/O with support for I/O voltage from 1.2V to 3.3V.HP = High Performance I/O with support for I/O voltage from 1.2V to 1.8V.

2024-09-05 20:04:31 557

原创 开源项目整理

1、

2024-01-18 09:28:51 453

原创 Altium开源硬件

1、FMC ADC 250M 16B 4CHA2、VME FMC Carrier VFC3、armadillo4、FMC DEL 1ns 4cha5、FMC Carrier tester6、FMC ADC 1G 8b 2cha7、HiCCE-FMC-1288、FMC ADC 130M 16b 4cha9、VME ADC 250k 16b 36cha10、FMC DIO 32ch TTL a11、FMC DAC 600M 12b 1cha DDS12、AMC FMC Carrier AFC13、

2024-01-12 17:33:01 495

原创 ADI官方例程HDL和No-OS生成Vivado工程

这一步是选择下载源,一般都是选择国内镜像,因为国外的源太慢了,这里选择阿里的镜像,如果没有这个选项可以在下面的User URL里添加就行,选择好源后然后点击下一步。下一步会从你选择的源上下载软件包目录,如果提示Unable to get setup from https://xxxxxx/,返回上一步,选择别的源,例如第二个163网易的源。然后就是你想要安装在哪了,下面的选项好像会决定环境变量存放在哪,不过一般都是个人电脑选择第一个默认的就行,然后点下一步。下好之后双击打开,就是这个界面了,直接点下一步。

2024-01-12 13:41:20 1705

原创 Verilog 状态机 示例

状态机设计如下:(0) 首先,根据状态机的个数确定状态机编码。利用编码给状态寄存器赋值,代码可读性更好。(1) 状态机第一段,时序逻辑,非阻塞赋值,传递寄存器的状态。(2) 状态机第二段,组合逻辑,阻塞赋值,根据当前状态和当前输入,确定下一个状态机的状态。(3) 状态机第三代,时序逻辑,非阻塞赋值,因为是 Mealy 型状态机,根据当前状态和当前输入,确定输出信号。

2024-01-12 11:22:05 492

原创 Verilog 仿真激励

但是被测试模块输入端对应的变量应该声明为 reg 型,如 clk,rstn 等,输出端对应的变量应该声明为 wire 型,如 dout,dout_en。因为 $fread 只能读取 2 进制文件,所以输入文件的第一行对应的 ASCII 码应该是 330a,所以我们想要得到文件里的数据 3,应该取变量 read_temp 的第 9 到第 8bit 位的数据。信号 data_in_temp 是对输入数据信号的一个紧随的整合,后面校验模块会以此为参考,来判断仿真是否正常,模块设计是否正确。

2024-01-12 09:20:32 1466

原创 基于K7的PXI&PXIe数据处理板(Kintex-7 FMC载板)

基于PXI&PXIe总线架构的高性能数据预处理FMC 载板,板卡具有 1 个 FMC(HPC)接口,1 个 X8 PCIe 和1个PCI主机接口;板卡采用 Xilinx 的高性能 Kintex-7 系列 FPGA 作为实时处理器,实现 FMC 接口数据的采集、处理、以及 PCI Express和PCI 总线接口的转换。板载 1 组独立的 64 位 DDR3 SDRAM 大容量缓存。

2023-11-13 16:20:32 688

原创 Xilinx Vivado IP许可申请

2、找到自己需要的IP核;3、生成License。4、下载License。

2023-11-06 15:51:51 1037

原创 M.2 接口

• B key:传输模式为PCI-E 2X或SATA,用于SSD或WWAN• M Key:传输模式为PCI-E 4X或SATA,传输速率达到4GB/s,应用于NVMe PCIe M.2 SSD等。接口类型

2023-07-28 18:12:39 2785

原创 Mentor Xpedtion Designer导出BOM操作步骤

打开“工具 -> Part Lister”

2023-07-25 09:21:18 1611

原创 Mentor Xpedition 过孔的制做以及如何把孔加入PCB板中

1、下面我们用Mentor建立10/18的孔,打开Setup->Libraries->Padstack Editor 窗口,我们首先建立Pads,一定要注意单位的选择,下图的单位是mil.常用的过孔有10/18mil ,10/22mil ,12/24mil。目前国内PCB厂制做工艺孔径比为8:1(板厚与孔径)优秀的PCB板厂的工艺孔径比会更大。过孔做好的,我们直接保存下来,下面我们在mentor PCB中,加入过孔。利用上面做好的盘和孔,制做过孔,下面的是盖绿油的过孔。

2023-07-25 09:17:30 771

原创 基于FT232HL的USB2.0转ARINC429板卡

USB2.0转ARINC429板卡》采用底板+子板,层叠安装的结构;使用同样的底板,变换不同功能的子板实现不同的功能版本。a) 降低硬件设计复杂度:新板卡设计只需要设计子板,子板的功能相对简单;b) 缩短板卡研制周期:子板设计PCB层数少,功能简单、设计生产周期短;c) 设计相对通用的FPGA逻辑框架:重用度高、缩短FPGA代码开发周期;d) 降低板卡研制门槛:板卡研制由原来的设计整块板卡变成子板的设计;

2023-07-15 09:58:55 2405

原创 AltiumDesigenr中允许两个元件重叠

取消勾选

2023-07-11 16:50:58 1659

原创 ZYNQ7035 PS读写PL端DDR3

【代码】ZYNQ7035 PS读写PL端DDR3。

2023-06-29 11:31:00 2929 9

原创 AXI smartconnect

AXI smartconnect 是为了一个或多个主机通过AXI总线和一个或多个从机进行数据读写而用的,此前常用的应该是AXI interconnect这个IP,实质上smartconnect是interconnect的升级版,使用更加方便一些,延时流和流水线优化的更好些,但是相对的资源就会占用更多。

2023-06-28 21:41:15 2426

原创 Vivado设置编译核心数

设置CPU核心数:set_param general.maxthreads 12获取当前CPU核心数:get_param general.maxthreads

2023-06-26 22:43:10 1276 2

转载 奇偶校验码

版权声明:本文为CSDN博主「士别三日wyx」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。偶校验码在数据发送前,也会「检查」1的个数,「偶数」个1就在头部填充0,「奇数」个1就在头部填充1,使数据整体保持偶数个1;奇校验码在数据发送前,「检查」1的个数,「奇数」个1就在头部填充0,「偶数」个1就在头部填充1,使数据整体保持奇数个1;​「偶数」个1则判定数据正常,去掉头部的填充符;​ 「奇数」个则判定数据正常,去掉头部的填充符;

2023-06-19 15:58:56 3527

原创 vivado mark_debug语法

【代码】vivado mark_debug语法。

2022-11-16 14:17:48 681 1

转载 STM32仿真必须点三次才能运行

STM32仿真必须点三次才能运行

2022-11-10 18:50:23 715 2

原创 Mentor Xpedition设置中英文切换

中文设置系统环境变量MGC_PCB_LANGUAGE=Chinese英文设置系统环境变量MGC_PCB_LANGUAGE=English

2022-11-09 17:44:08 1130

原创 基于PCI9054的通用CPCI/PXI开发板

1、特点可直接使用此底板进行CPCI/PXI板卡开发!!!《通用型CPCI/PXI底板》采用底板+子板,层叠安装的结构;使用同样的底板,变换不同功能的子板实现不同的CPCI/PXI版本。降低硬件设计复杂度:新板卡设计只需要设计子板,子板的功能相对简单;缩短板卡研制周期:子板设计PCB层数少,功能简单、设计生产周期短;设计相对通用的FPGA逻辑框架:重用度高、缩短FPGA代码开发周期;降低板卡研制门槛:板卡研制由原来的设计整块板卡变成子板的设计;2 硬件资源3 PCB布局3 实物图

2022-03-07 17:36:56 933 2

原创 ZYNQ使用SDK生成Linux设备树

1、下载设备树资源包device-tree-xlnx-xilinx-v2018.3.ziphttps://github.com/Xilinx/device-tree-xlnx/releases/tag/xilinx-v2018.32、SDK配置1、解压缩device-tree-xlnx-xilinx-v2018.3.zip文件至“C:\Xilinx\SDK\2018.3\data\embeddedsw”目录;2、打开SDK,然后打开Xilinx ->Respositories工具;在Loc

2021-11-19 10:25:05 2229

原创 嵌入式Linux驱动开发常用函数

of_iomap通过设备结点直接进行设备内存区间的 ioremap();index是内存段的索引。若设备结点的reg属性有多段,可通过index标示要ioremap的是哪一段,只有1段的情况, index为0。采用Device Tree后,大量的设备驱动通过of_iomap()进行映射,而不再通过传统的ioremap。void __iomem *of_iomap(struct device_node *node, int index);of_find_node_by_pathinline s

2021-11-18 16:55:11 195

原创 在Ubuntu配置Petalinux驱动交叉编译环境

工具1、Petalinux内核源码:linux-xlnx-xilinx-v2018.3.tar.gz操作步骤1、在Ubuntu中解压《linux-xlnx-xilinx-v2018.3.tar.gz》;2、修改内核源码中的Makefile文件;# CROSS_COMPILE specify the prefix used for all executables used# during compilation. Only gcc and related bin-utils executables

2021-11-18 13:31:50 1180

原创 Petalinux常用指令

创建petalinux工程:petalinux-create -t project --template zynq -n zynq_linux配置petalinux工程:petalinux-config --get-hw-description /mnt/hgfs/VMShare/ZYNQ7035_Linux/ZYNQ7035_Linux.sdk/重新配置petalinux工程:petalinux-config配置Linux内核:petalinux-config -c kernel配置Lin

2021-11-18 11:56:20 1120

原创 配置Petalinux设备树在SD卡启动

1、切换路径至Petalinux工程目录;2、输入配置Petalinux工程指令:petalinux-config3、选择“Subsystem AUTO Hardware Settings”4、选择“Advanced bootable image storage Settings”5、选择“dtb image settings”6、选择“image storage media”7、选择“primary sd” -> 保存退出...

2021-11-18 11:07:04 1308

原创 基于PXI/CPCI总线的高速RS422总线板卡

基于PXI和CPCI总线的8通道RS422板卡

2021-09-28 19:13:56 1106

原创 ZYNQ7000 Linux开发步骤

STEP1.Vivado新建工程1、新建Vivado工程;2、配置ZYNQ7000的ARM核;3、开发用户自定义的IP核;4、编译并生成bit文件;5、导出硬件信息;参考资料:STEP2.新建和配置Petalinux工程1、新建Petalinux工程;2、导入硬件信息;3、配置Petalinux工程;4、配置Linux内核;5、配置根文件目录;6、编译Petalinux工程;7、生成Linux镜像文件;参考资料:STEP3.复制镜像文件并启动Linux1、复制镜像文件至SD

2021-08-21 01:16:49 858

原创 ARINC 429总线协议解析

一、概述ARINC 是美国航空无线电公司英文字头的缩写, 该公司1977年7月21日出版了“ARINC 429规范”一书,429规范就是飞机电子系统之间数字式数据传输的标准格式,在飞机上使用429总线的电子设备均应遵守这个规范,这样才能保证电子设备之间数据通讯的标准化,通用化。在429规范里规定了从所选定输出接口发送信息的方法。二、参考资料ARINC 429标准协议规范:ARINC-429_Protocol_Tutorial三、总线连接方式四、总线电平特征编码方式:ARINC总线传输的是双极

2020-09-19 20:08:28 33254 6

原创 ascii转hex

unsigned char ascii2hex(unsigned char ascii){ unsigned char ren = 0; if((ascii >= '0') && (ascii <= '9')) { ren = ascii - '0'; } else if((ascii >= 'A') && (ascii <= 'F')) { ren = ascii - 'A' + 0x0A; } else if((asci

2020-07-19 14:13:06 1871

原创 double转字符串函数double2string

void double2string(char *str, double double_number, unsigned char len){ static char table[]={'0', '1', '2', '3', '4', '5', '6', '7', '8', '9'}; unsigned char i; unsigned char j = 0; unsigned char str_cnt = 0; int int_number = 0; do

2020-05-20 16:44:44 1441

原创 Allegro导出走线长度

1、Allegro打开PCB文件2、Setup -> Constraints -> Constraint Manager3、Analysis Mode设置4、选中 右键 Analysis5、导出Excel文件

2020-03-04 18:08:43 2900

原创 no components have been enabled for swapping in pcb document

布线时管脚使能“取消”

2020-03-04 18:06:42 3535 1

原创 STM32CubeIDE串口

STM32CubeIDE 串口收发1、配置RCC2、配置时钟3、配置JTAG4、配置串口4、重定向printf函数在usart.c中添加以下内容#include "stdio.h" #ifdef __GNUC__#define PUTCHAR_PROTOTYPE int __io_putchar(int ch)#else#define PUTCHAR_PROTOT...

2020-02-20 19:43:57 3650 6

原创 Qt Creator + PyQT5 + Python + VS Code开发环境搭建

一、工具Qt Creator: http://download.qt.io/official_releases/qtcreator/PyQT5: 用pip安装;Python: 3.7版本;二、使用方法

2019-10-11 09:31:19 2817

原创 XYplorer的安装和使用

XYplore下载地址https://www.xyplorer.com安装后的界面设置中文工具栏->help->select language改成单窗格打开预览窗口

2019-09-16 15:21:36 13525 11

原创 Mac WinToGO

需要的工具

2019-09-14 16:27:38 4631 2

原创 Mac 配置Python3环境变量

open ~/.bash_profile在文件中添加:export PATH=${PATH}:/Library/Frameworks/Python.framework/Versions/3.7/binalias python="/Library/Frameworks/Python.framework/Versions/3.7/bin/python3.7"export PATH=${PA...

2019-09-13 09:45:21 8446 1

原创 python读取显示视频

import numpyimport cv2cap = cv2.VideoCapture('video_sc.avi')while(cap.isOpened()): ret, frame = cap.read() cv2.imshow('image', frame) k = cv2.waitKey(20) #q键退出 if (k & 0xff ...

2019-09-12 09:47:40 2317

原创 Python图像处理环境搭建

一、安装Python软件安装包下载地址:https://www.python.org安装完成过后将安装目录的…\Python\Python37-32\Scripts和…\Python\Python37-32添加到系统环境变量。二、安装matplotlib官网地址:https://matplotlib.orgpython -m pip install -U pippython -m p...

2019-09-12 08:23:25 621

ZYNQ7010-7020-AD9363-main

ZYNQ7010-7020_AD9363-main SDR

2024-01-18

ZYNQ7000烧写程序流程

赛灵思ZYNQ7000系列芯片详细的烧写程序流程;步骤清晰明了

2022-03-09

Altium Designer封装库 器件库 原理图库

自用器件库,所有的封装都在实际项目中使用过

2021-09-03

ARINC-429协议规范.zip

---------------------------------------- ARINC-429协议规范:英文版 -----------------------------------------

2020-07-19

NanoPi Duo2原理图 AD版(非PDF)

NanoPi Duo2原理图 AD版(非PDF);里面所有用到的器件的封装也已经画好。

2019-09-25

myBase Desktop setup v7.1.0.0.rar

非常好用的一款本地笔记工具!

2019-09-16

bt656和bt1120标准中文版

bt656标准和bt1120标准中文版,做图像开发的可以参考。

2019-08-29

Python串口调试助手

* 使用PyQt5开发UI界面; * HEX和ASCII数据发送; * 数据的接收和显示; * 接收数据的保存;

2018-12-11

Python信号与槽、多线程、类学习笔记.pdf

python学习笔记; 内容包括:信号与槽; 多线程 类的学习

2018-11-28

Python爬虫实战入门教程.pdf

Python爬虫实战入门教程.pdf 非常好的爬虫入门学习手册; 简单易学

2018-11-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除