UVM的callback的使用(八)

Callback的使用:
作用:提高验证平台的复用性。
UVM中的callback的使用方法:
例子:只涉及到一个类,Mac_transaction。
Task mii_driver::main_phase();
…….
While(1) begin
Seq_item_port.get_next_item(req);
`uvm_do_callbacks(mii_driver,A,pre_tran(this,req))
………
End
Endtask

Uvm_do_callback宏的第一个参数是调用pre_tran的类的名字,第二个参数是指哪个类具有pre_tran,第三个参数是调用的是哪个函数(任务),在指明pre_tran时,要顺便给出pre_tran的参数。

类A的定义:
Class A extends uvm_callback;
Virtual task pre_tran(mii_driver mii_drv,ref mii_transaction tr);
Endtask
Endclass

建立新的测试用例,只需从A中派生一个类。
这里写图片描述

其次,在base_test中把my_callback实例化:
这里写图片描述

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

南国之邱

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值