vcs仿真教程(查看断言)

        VCS是在linux下面用来进行仿真看波形的工具,类似于windows下面的modelsim以及questasim等工具,以及quartus、vivado仿真的操作。

1.vcs的基本指令

vcs的常见指令后缀


sim常见指令

2.使用vcs的实例

(1)新建文件夹:

命令:mkdir +文件名,例如:mkdir tst

(2)拷贝文件

然后直接把设计文件和仿真文件拷贝到tst7这个文件夹里。

(3) 输入命令

打开终端,输入编译命令;
vcs *.v .v -R -degug_all -full64 -gui -l log(.v分别问设计文件和仿真文件)
-R:表示编译完以后直接运行可执行文件,若不写,则不能执行可执行文件。
-debug_all:表示调用UCLI和DEV,并为进一步调试DEV建立所需的文档;
-gui:调用VCS图形界面;
-l:后面要加一个日志名称(自己命名),如我命名为log,-l log.即记录编译过程日志。
-full64:64位操作系统要写上,不然会报错。

vcs -sverilog  -debug_all   -timescale=1ns/1ps  full_adder.v  full_adder_tb.v -l com.log

或者使用如下命令:

vcs -sverilog  -debug_all   -timescale=1ns/1ps  *.v  *.sv -l com.log

        *.v  *.sv 表示通配符,这里先写full_adder.v 是因为tb文件需要调用它,所以把被调用的文件先写出来,这条语句会生成simv文件(如果使用了-o simv_file会生成对应的文件名字)。
(2)可以用./simv文件执行波形的界面,也可以采用下面指令以后台方式打开一个界面。

dve  &
 
或者
 
./simv -l run.log -gui

(3)如果以./simv执行会直接看到波形文件

        在弹出的信号窗口,点击需要观察的信号,鼠标右键,选择“Add to wave”->"New wave view"保存到新的wave窗口,或者"Add to wave"保存到已有的窗口中。

点击如下按钮,开始仿真;


如果使用dve & 需要自行加入simv文件来查看波形,选中simulator->Setup,加入生成的simv文件。

        在下方run all,如果没有给时间刻度这里会报错。没有报错,则说明我们的功能是完全正确无误的。右键加入波形文件,按f键显示波形。
(4)如下的四个按键作用
第一个: 追踪谁驱动该信号 ctrl+d
第二个: 追踪信号的值变换 ctrl+shift+d
第三个: 追踪未知态
第四个: 追踪用于驱动谁

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值