VHDL学习笔记
文章平均质量分 91
冷暖自知_源
不要用时间上的勤奋,去掩盖战术上的懒惰!
展开
-
QuartusII 9.0安装教程详解及例程测试
目录1.首先下载软件以及文件2.安装软件3.软件4.例程测试5.新建原理图1.首先下载软件以及文件链接:https://pan.baidu.com/s/1qGtGchiD0gXn_3b2ZRRGiA提取码:ofss下载完如下图所示:解压QuertusII 9.0的压缩包。2.安装软件2.1.点击setup.exe2.2.接受条款...原创 2020-01-24 12:31:21 · 130508 阅读 · 67 评论 -
VHDL实现交通灯程序
1、交通灯控制器设计状态转换图:各个状态的时间:数码管显示原理图:程序的模块分类:源代码:library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_unsigned.all;USE IEEE.STD_LOGIC_ARITH.ALL;--io define--entity tr...原创 2019-04-21 22:57:33 · 13642 阅读 · 3 评论 -
VHDL半整数与奇数分频器设计实验
实验原理:使用数字系统中常常需要不同类型的分频,对于偶数次分频要求以50%占空比输出的电路是比较容易的额。但却难以同相同设计方式直接获得奇数分频且占空比为50%的电路。代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity DIV is port(CLK :in st...原创 2018-12-25 16:20:27 · 3678 阅读 · 1 评论 -
VHDL有限状态机设计例子分析
目录1.VHDL状态机的一般形式2.Moore有限状态机的设计3.序列检测之状态机设计前言:有限状态机机器设计技术是使用数据系统设计中的重要组成部分,也是实现效率高,高可靠和速度控制逻辑系统的重要途径,广义而论,只要涉及触发器的电路,无路电路大小,都能归结为状态机。1.说明部分:说明部分使用TYPE语句定义新的数据类型,此数据类型为枚举类型,其元素通常都用状态机的状态来...原创 2018-11-02 21:43:55 · 8844 阅读 · 4 评论 -
VHDL通用位移寄存器的实验
通用移位寄存器的设计 实验目的:学习时序逻辑电路,学习寄存器的原理,学习VHDL语言。实验内容:编写一个8位的模式可控的移位寄存器的VHDL 代码并编译,仿真。实验环境PC 机(Pentium100 以上)、Altera Quartus II 6.0 CPLD/FPGA 集成开发环境。实验原理本实验就是设计一个模式可控的移位寄存器,该寄存器可以对8位信号通过MD输入端控...原创 2018-10-30 22:42:14 · 4147 阅读 · 1 评论 -
3.VHDL的基本结构和语法(二)
目录1.顺序语句1.1赋值语句:1.2 .流程控制语句IF语句CASE语句LOOP语句NEXT语句EXIT语句NULL语句WAIT语句2.配置语句1.顺序语句顺序语句仅出现在进程和子程序中。顺序语句综合后 , 映射为实际的门电路,系统一上电, 门电路开始工作 。电路可实现逻辑上 的顺序执行,实际上所有门电路是并行工作的。赋值语句要点:赋值...原创 2018-10-14 19:30:15 · 2613 阅读 · 2 评论 -
2.VHDL的基本结构和语法(一)
目录1.VHDL基本结构1.1.实体(Entity)类属说明端口方向:IN, OUT ,INOUT ,BUFFER1.2.结构体 (Architecture)1.3.库、程序包的调用1.4.VHDL语句1.4.1.并行语句并行信号赋值1.4.2.进程语句进程的工作原理:进程与时钟进程的启动进程的注意事项1.5.元件例化语句实现元件例化...原创 2018-10-14 16:45:30 · 22394 阅读 · 4 评论 -
1.VHDL的基础知识
目录1.VHDL语言基础1.1.标识符 (Identifiers)关键字(保留 字):1.2.数据对象(Date Objects)常量 Constant变量Variable信号Signal1.3.数据类型VHDL的预定义数据类型IEEE预定义标准逻辑位与矢量用户自定义1.4数据类型转换属性运算符运算符的优先级 1.VHDL语言基础...原创 2018-10-13 19:49:46 · 9678 阅读 · 0 评论 -
VHDL实现矩阵键盘
1.矩阵键盘驱动电路设计2.原理图3.矩阵键盘原理4.矩阵键盘设计思路5.键盘扫描6.源代码:library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_unsigned.all;USE IEEE.STD_LOGIC_ARITH.ALL;entity keyboard is port...原创 2019-04-21 23:03:51 · 6643 阅读 · 0 评论