AXI Stream接口,AXI 流接口规范

支持很多不同的流类型。流协议在传输和包之间定义了联系。

信号

描述

ACLK

时钟源

全局时钟信号。所有信号在ACLK信号上升沿采样。

ARESETn

复位源

全局复位信号,ARESETn低有效。

TVALID

TVALID表明主设备正在驱动一个有效的传输。当确认TVALID和TREADY信号后,发生一个传输。

TREADY

TREADY表明在当前周期能接收一个传输。

TDATA[(8n-1):0]

TDATA是基本的有效载荷,用来提供跨越接口的数据。数据为整数个字节。


  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值