竞争与冒险 毛刺

小结

一般来说,为消除竞争冒险,增加滤波电容和逻辑冗余,都不是 Verilog 设计所考虑的。

计数采用格雷码计数器,大多数也是应用在高速时钟下减少信号翻转率来降低功耗的场合。

利用触发器在时钟同步电路下对异步信号进行打拍延时,是 Verilog 设计中经常用到的方法。

除此之外,为消除竞争冒险,Verilog 编码时还需要注意一些问题,详见下一小节。


Verilog 书写规范

在编程时多注意以下几点,也可以避免大多数的竞争与冒险问题。

    • 1)时序电路建模时,用非阻塞赋值。
    • 2)组合逻辑建模时,用阻塞赋值。
    • 3)在同一个 always 块中建立时序和组合逻辑模型时,用非阻塞赋值。
    • 4)在同一个 always 块中不要既使用阻塞赋值又使用非阻塞赋值。
    • 5)不要在多个 always 块中为同一个变量赋值。
    • 6)避免 latch 产生。
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值