不同功能D触发器的Verilog描述

不同功能D触发器的Verilog描述
1.D触发器(本文都为上升沿触发、异步都为低复位、置数都为高)
module flip_flop(
input clk,
input D,
output reg Q
);
always@(posedge clk)
Q<=D;
endmodule
在这里插入图片描述

2.异步复位D触发器
module flip_flop(
input clk,
input rst_n,
input D,
output reg Q
);
always@(posedge clk or negedge rst_n)
begin
if(rst_n==1’b0)
Q<=1’b0;
else
Q<=D;
end
endmodule
在这里插入图片描述
异步复位D触发器RTL视图

3.同步复位D触发器
module flip_flop(
input clk,
input rst_n,
input D,
output reg Q
);
always@(posedge clk )
begin
if(rst_n==1’b0)
Q<=1’b0;
else
Q<=D;
end
endmodule
在这里插入图片描述
同步复位D触发器RTL视图

4.异步复位、同步置数
module flip_flop(
input clk,
input rst_n,
input set,
input D,
output reg Q
);
always@(posedge clk or negedge rst_n)
begin
if(rst_n==1’b0)
Q<=1’b0;
else if(set) //
Q<=1’b1;
else
Q<=D;
end
endmodule
在这里插入图片描述
异步复位、同步置数RTL视图

5.异步复位、异步置数
module flip_flop(
input clk,
input rst_n,
input set,
input D,
output reg Q
);
always@(posedge clk or negedge rst_n or negedge set)
begin
if(rst_n==1’b0)
Q<=1’b0;
else if(~set)
Q<=1’b1;
else
Q<=D;
end
endmodule
在这里插入图片描述
异步复位、异步置数RTL视图
6.异步复位、时钟使能D触发器
module flip_flop(
input clk,
input rst_n,
input en,
input D,
output reg Q
);
always@(posedge clk or negedge rst_n)
begin
if(~rst_n)
Q<=1’b0;
else if(en)
Q<=D;
end
endmodule
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值