Verilog实现PWM呼吸灯—从原理到实现

本文介绍了PWM(脉冲宽度调制)的工作原理及其用于调节LED亮度的应用。通过Verilog实现了一个PWM控制器,该控制器使用N比特计数器产生不同占空比的脉冲,进而控制LED的亮度变化。实验设计中,PWM频率设为200Hz,通过不断调整占空比,实现实现LED灯亮度的平滑变化。
摘要由CSDN通过智能技术生成

原理

PWM是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PWM信号仍然是数字的,因为在给定的任何时刻,满幅值的直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值都可以使用PWM进行编码。

所以根据面积等效法则,可以通过对改变脉冲的时间宽度,来等效的获得所需要合成的相应幅值和频率的波形;
具体如下图所示(图片来自https://blog.csdn.net/little_ox/article/details/118249648):
在这里插入图片描述
如下图所示,用一个 N 比特的计数器,最大值可以表示为 2 的 N 次方,最小值 0,计数器以“period”为步进值累加,加到最大值后会溢出,进入下一个累加周期。当计数器值大于“duty”时,脉冲输出高,否则输出低,这样就可以完成图中红色线所示的脉冲占空比可调的
脉冲输出,同时“period”可以调节脉冲频率,可以理解为计数器的步进值。
在这里插入图片描述
不同的脉冲占空比的方波输出后加在 LED 上,LED 灯就会显示不同的亮度,通过不断地调节方波的占空比,从而实现 LED 灯亮度的调节。

实验设计

在这里插入图片描述

Verilog实现

`timescale 1ns / 1ps
module ax_pwm
#(
parameter N = 16 //pwm bit width 
)
(
 input clk,
 input rst,
 input[N - 1:0]period,//pwm step value
 input[N - 1:0]duty, //duty value
 output pwm_out //pwm output
 );
reg[N - 1:0] period_r; //period register
reg[N - 1:0] duty_r; //duty register
reg[N - 1:0] period_cnt; //period counter
reg pwm_r;
  • 2
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值