自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(67)
  • 收藏
  • 关注

原创 Intel FPGA 的时序约束

目录I/O接口时钟不确定性约束创建时钟组(set_clock_groups )独占时钟组(Exclusive Clock Groups (-exclusive))异步时钟组(-asynchronous)I/O接口时钟不确定性约束要指定 I/O 接口不确定性,必须创建一个虚拟时钟,并通过引用此虚拟时钟的set_input_delay 和 set_output_delay 命令约束输入和输出端口。当 set_input_delay 或 set_output_delay 命令引用一个时钟端口或 PLL 输

2022-04-08 22:16:18 765

原创 vivado之report

目录vivado之reportGUI 输出报告方式:Tcl 命令输出报告:利用率报告 (Report Utilization)时钟利用率 (Clock Utilization)”报告布线状态报告(Report Route Status)噪声报告 (Report Noise)功耗报告 (Report Power)关于一些专业的术语-- 时序分析有关vivado之report参考 ug906 第 4 章:查看报告和消息GUI 输出报告方式:在gui 的上部选择reports 选项下拉菜单中有好多关于报告

2022-04-08 22:15:06 2853

原创 关于米联客中pcie驱动编译失败的问题

米联客pcie 编译环境搭建

2022-02-20 22:34:43 769

原创 FFT笔记

快速傅里叶变换FFT算法实现FFT时的思路输入倒序位,输出自然序的DIT-FFT的一些特点三级目录算法实现FFT时的思路使用三层for循环:最外层:m,控制第几级蝶形运算;中间层:  第m级蝶形运算,循环几个不同的蝶形结的系数,蝶形结的系数个数为2^(m-1),对应于两节点之间的距离,最内层:  有几个同一系数的蝶形结,同系数的蝶形结之间的距离是2^m。输入倒序位,输出自然序的DIT-FFT的一些特点设N= 2^L:每一级有N/2个蝶形结,第一级(列)的N/2个蝶形结构都是同一种蝶形运

2021-08-19 06:27:09 1672

原创 信号采样基本定理

带通信号采样

2021-07-07 17:42:35 2222

原创 tcl 查询与设置编码格式

返回一个包含当前可用的所有编码的名称的列表。encoding namesencoding system ?encoding?查询当前的系统编码encoding system设置当前的系统编码encoding system utf-8

2021-06-21 23:09:44 712

原创 quatus_sh tcl获取帮助

获取帮助quarttus_sh --help获取操作或主题的帮助quartus_sh --help=tclquartus_sh --help=clean

2021-06-21 22:57:00 252

原创 centos7 安装openocd

目录一、下载openocd二、安装openocd1、解压openocd-0.11.0.zip2、查看帮助centos7 安装Libusb1、下载libusb软件执行./configure出错缺少头文件解决方法编译包:make安装:make install安装完成libusb 继续配置openocdmake运行后提示缺少tcl的libtcl安装tcl所有依赖配置完成最后安装一、下载openocdOpenOCD:sourceforge.net/project二、安装openocd1、解压openocd-

2021-06-05 07:02:22 1392

原创 centos 7 8安装quaartus 遇到的问题及解决方法

目录centos 7 安装quartus 18.1centos 8 安装quartus 18.1centos 7 安装quartus 18.1在官方的安装手册上,quartus18.1可以安装在centos7上,安装按照手册上直接运行脚本命令就可以了。可以参考:https://www.intel.com/content/dam/altera-www/global/en_US/others/download/os-support/readme_qp191.txt官方安装手册地址在centos7的安

2021-06-03 19:51:35 895

原创 quartus 使用IP提供的脚本仿真rapidio

目录quartus 仿真raoidioquartus 仿真raoidio版本 15.1仿真工具: modelsim 或者使用quartus 自带的modelsim 都可以参考手册:ug_rapidio-18-0.pdf在intel 的rapidio的手册中的2.5小节中有关于如何仿真的详细介绍。操作方法:生成好IP后:1、 打开modelsim 改变工作路径到:<your_ip>/simulation/mentor.在这个文件下有一个tcl脚本。2、 在modelsim

2021-05-14 18:09:04 361

原创 vivado 一次性设置多线程编译

设置多线程的命令为: set_param general.maxThreads 4读取当前线程数的命令: 为get_param general.maxThreads需修改一个文件:在ug893中有说明关于vivado环境配置的文件Outputs for Environment Configuration文章中有说明:Vivado_init.tclNote: In Vivado Design Suite2016.4 and earlier releases, thefile name is in

2021-05-03 17:04:44 1368 1

原创 vivado constraints Wizard官方使用教程参考信息

接触vivado 已经一年左右了,结果发现对于vivado的约束使用并不是特别明白,今天发现在官方的ug945中有详细的介绍如何使用 Constraints Wizard ,虽然文档是英文的但是结合一个官方的example还是十分容易看懂的。如果有小伙伴也对vivado 的约束刚入手不妨去看一下这篇文章。已经看完基础的时序约束,对于高级的物理约束后面用到再去学习。...

2021-04-29 04:53:24 1972 1

原创 vivado global和out-of-context 选项

在 vivado 的ip核配置完成后会弹出一个关于IP综合的选项一个是”Global”,另一个是”Out of Context (OOC)”。默认情况下,Vivado Design Suite 会为综合后的 IP DCP 生成 OOC 运行。在“Generate Output Products”对话框中,选择以下任一选项:“Global Synthesis”:指示工具对当前设计执行自上而下的综合。选中该选项时会移除所有 OOC 运行文件。“Out-of-Context Settings”:支持您添加

2021-04-28 19:04:55 2679

原创 Vivado exception_access_violation

vivado exception_access_violation 的错误在 vivado工程进行综合Implementation 中会遇到exception_access_violation这个错误1、解决方法首先关闭所有杀毒软件;2、打开 win + R 输入msconfig3、在msconfig的服务中找到FlexNet Licensing Service 64 取消勾选(也就是禁用这个服务)点击 确定4、重启计算机 ok了...

2021-04-23 04:20:04 3356 1

原创 ubuntu tftp 端口 NFS端口固定与防火墙

在ubuntu中安装tftp 服务安装tftpsudo apt-get install tftp-hpa tftpd-hpa创建 /tftpboot 文件夹TFTP 需要一个文件夹来存放文件,我们在根目录下新建一个/tftpboot 目录做为 TFTP 文件存储目录,之所以使用该目录是因为后面使用的 Petalinux 工具默认使用该目录,省得我们每次建 Petalinux 工程的时候手动修改。创建/tftpboot 目录命令如下:sudo mkdir -p /tftpbootsudo

2021-04-22 02:51:47 826

原创 ebaz s9 zynq linux中关于网络的一些小问题

ebaz s9 zynq linux中关于网络的一些小问题在使用petaalinux 编译ebaz的linux时,如果只按照某金与某原子的linux教程制作linux系统会卡死在内核启动的过程中。其中包括下面的几个地方ebaz s9 里没有USB 所以在设备树里还有内核里都要去掉usb的使能。ebaz s9中没有IIC,SPI 所以在内核里都可以去掉。ebaz s9 中使用的是nand flash 我没有找到对应的手册,但是在linux 内核的nand flash 设置里需要去掉一个pl35的一个

2021-04-17 18:49:44 249

转载 ubuntu之间传输文件

在linux下一般用scp这个命令来通过ssh传输文件。1、从服务器上下载文件scp username@servername:/path/filename /var/www/local_dir(本地目录)例如scp root@192.168.0.101:/var/www/test.txt 把192.168.0.101上的/var/www/test.txt 的文件下载到/var/www/local_dir(本地目录)2、上传本地文件到服务器scp /path/filename username@s

2021-03-28 15:58:02 3690

原创 vivado 设计约束学习笔记1

学习手册 UG949对更加详细的约束信息参照 UG9061.组织管理约束文件对于简单的工程,我们可以按照下面的方法组织我们的约束文件:直接采用一个约束文件;物理约束+时序约束物理约束+时序约束(综合)+ 时序约束(实现)对于大型的项目或者是多个团队合作实现的约束可以采用下面的方法:一个顶层的物理约束+一个顶层的时序约束+对每个IP的约束或者每个模块的时序约束如果一个工程中存在多个约束文件,那么此时需要注意约束文件的编译顺序:在工程模式下可以使用reorder_files tcl

2021-03-28 01:09:47 4376

原创 软碟通 ultraISO制作win10 uefi启动盘无法被电脑识别

软碟通 ultraISO制作win10 uefi启动盘无法被电脑识别由于现在的win10系统镜像文件普遍大于4G,在使用软碟通制作启动盘时可能会存在一些问题。可能的原因:1、你的BIOS不支持从ntfs分区读efi文件现在版本的Windows10安装镜像里面主安装文件超过fat32的最大文件大小上限了旧版本UltraISO会使用fat32导致写不进去主安装镜像文件,新版本检测到超出大小会换ntfs 但是你的BIOS不支持可能解决的方法备选解决方案就是找个带虚拟光驱功能的winpe,从那个进行u

2021-03-22 08:10:54 9348

原创 AD常用操作

设置原点 eos板框的重新定义dsd快速设置板子的默认参数 TP放置铜皮 PG

2021-03-15 16:29:06 173

原创 tcl如何获取键盘输入

使用set name [gets stdin]puts &name

2021-03-15 16:28:28 2494 1

原创 cmd 切换路径cd

cd /? 帮助cd /d D:切换到d盘

2021-03-15 16:28:10 276

原创 tcl正则表达式

正则表达式转义字符为反斜杠\

2021-03-15 16:27:51 405

原创 tcl字符串拼接

在tcl字符串拼接中如果字符串都是以变量的形式存在的例如:set str1 “hellow”set str2 “world”set str3 “$str1$str2”但是如果遇到这种情况"$str1world"这时会报错说没有这个变量此时应该使用set4 “${str1}world”...

2021-03-15 16:26:04 8068 1

原创 vivado unc paths are not supported

解决方法,创建映射网络驱动器右击我的电脑,选择映射网络驱动器在文件夹一栏中输入你的网络共享文件夹地址就可以使用本机访问另一台电脑里的vivado工程了

2021-03-11 08:52:45 1008

原创 vivado ip xdc 和user xdc 编译顺序

参考ug903 p12报告约束编译顺序report_compile_order -

2021-03-10 11:15:17 949

原创 Tcl Tutorial 笔记10 · list

在tcl 中列表是一个集合:列表里可以是数字,字符,字符串,或者是其他的列表。创建列表的几种方法:1、手动创建列表型变量set lst {{item 1} {item 2} {item 3}}2、使用split命令生成列表set lst [split "item 1.item 2.item 3" "."]3、使用list命令set lst [list "item 1" "item 2" "item 3"]调用list里的值:lindexset lst [split “item 1.i

2021-03-09 21:59:28 339

原创 Tcl Tutorial 笔记 ·ubuntu命令行运行tcl 命令

ubuntu 安装tcl :sudo apt-get install tcl在ubuntu命令行运行tcl 命令:usually via running “tclsh” or “wish” from a command linetclsh hello.tcl

2021-03-09 21:13:05 1319

原创 使用tcl 创建vivado工程

使用tcl 创建vivado工程ubuntu 下vivado 运行tcl脚本ubuntu 下vivado 运行tcl脚本vivado -source xxx.tcl#creat_xilinx_proj 注释#设置工程输出路径set outputDir ./proj #创建文件夹file mkdir $outputDir#在指定文件夹下创建工程 需要指定器件, -force 表示强制执行#create_project proj_name proj_dir -part xc7z010cl

2021-03-08 20:13:27 1336

原创 matlab续行

有时候表达式或者语句太长,有时候为了美观,需要将一行代码写在几行,这时候就需要换行(续行)符号,…,,空格+三个点+逗号,注意别丢了空格,还有就是英文状态下输入。eg:a=b1+c1+ …, b2+c2;...

2021-03-03 15:10:35 1471 1

原创 dfs dtft dft fft

dfs 傅里叶级数dft 离散傅里叶变换DFT为对任意有限持续时间序列可数值计算的傅里叶变换

2021-02-26 08:41:11 1389

原创 指定火狐浏览器安装位置

一定要下载完整版才会有自定义安装的方式和选项

2021-02-05 10:59:38 1567

原创 ubuntu 下vivado关联vscode

vscode 中文乱码用VsCode代替Vivado的文本编辑器—安利一波vscode 安装verilog插件Vivado加上VsCode让你的生活更美好

2021-01-30 19:54:11 1882

原创 指数对数转换关系

感觉自己东西忘得有点厉害,记下来吧,

2021-01-15 08:31:27 1641

原创 windows10 ubuntu16.04 双硬盘安装双系统安装

windows10与ubuntu16.04

2021-01-12 10:44:12 305

原创 tcl之quartus 脚本学习 ·2创建工程

创建工程::quartus::projectPackageExample保存约束到.qsf文件三级目录::quartus::projectPackagequartus project包中包含创建工程,设置版本,并进行约束的命令。下面介绍一下常用的命令:Project and revision#创建、打开、关闭、?? 工程project_new, project_open,project_close,project_clean,#版本creat_revision, #生成一个新版本

2021-01-11 22:56:54 1110

原创 tcl之quartus 脚本学习 · 1 quartus tcl packages 介绍

quartus之tcl脚本学习一级目录二级目录三级目录一级目录二级目录三级目录

2021-01-08 23:35:55 934

原创 ubuntu16.04 安装kicad5.1

ubuntu16.04 安装kicad5.1ubuntu 使用 shell 安装kicadsudo add-apt-repository --yes ppa:kicad/kicad-5.1-releasessudo apt updatesudo apt install --install-recommends kicad# If you want demo projectssudo apt install kicad-demos官方安装说明链接地址kicad官方中文文档地址...

2021-01-07 19:51:08 292

原创 Tcl Tutorial 笔记9 · proc 参数传递与return

这里写目录标题一级目录二级目录三级目录一级目录二级目录三级目录

2020-12-20 16:10:50 5076 1

原创 Tcl Tutorial 笔记8 · proc

目录procExampleAdvanced usageproc在tcl中,proc与在其他的编程语言中的函数是没有差别的。proc 命令创建一个新命令。proc命令的语法是:proc name arguments body当proc被求值时,它将创建一个新的命令,该命令具有name参数。当调用过程名时,它将运行主体中包含的代码。arguments是一个参数列表,它将被传递给name。当name被调用时,将创建具有这些名称的局部变量,并将传递给name的值复制到局部变量中。proc主体返回的值

2020-12-18 22:29:48 863 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除