FPGA系统性学习笔记连载_Day6 医院护士灯之Intel Q和Xilinx S-6、 ZYNQ7020三个平台的实现及验证篇

FPGA系统性学习笔记连载_Day6 医院护士灯之Intel Q和Xilinx S-6、 ZYNQ7020三个平台的实现及验证篇

 

连载《叁芯智能fpga设计与研发-第6天》 【医院护士灯实验】之【intel Cyclone IV、Xilinx Spartan-6、Xilinx ZYNQ7020三个平台的实现及验证】

原创作者:紫枫术河 转载请联系群主授权,否则追究责任

本实验,实现一个护士灯实验,要求输入4个按键,输出4个led灯,同一时间只能有一个led灯点亮,key有优先级 key1 > key2 > key3 > key4

 

一、基本思路

将4路按键信号的值进行判断,先判断key1-->key2-->key3-->key4,如果高优先级的按键按下,低优先级的就不用判断了,代码如下

doctor_leds.v

 
module doctor_leds(
    input  wire  [3:0]keys,
     
    output reg  [3:0]leds
);
 
    wire    [3:0] keys_status;
    assign keys_status = keys;
 
    always @(*) begin
        if(keys_status[0] == 1'b0)
            leds = 4'b0001;
        else if(keys_status[0] 
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
02-09 394

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值