【牛客网刷题系列 之 Verilog快速入门】~ 使用函数实现数据大小端转换

0. 前言

0.1 知识点考察

考察的是:

  1. 函数function的知识点;
  2. 理解大端小端。传送门

0.2 知识拓展

function和task的定义和区别?

这个问题之前总结过,直接老规矩,插眼,传送门

1. VL10 使用函数实现数据大小端转换

1.1 题目描述

在数字芯片设计中,经常把实现特定功能的模块编写成函数,在需要的时候再在主模块中调用,以提高代码的复用性和提高设计的层次,分别后续的修改。

请用函数实现一个4bit数据大小端转换的功能。实现对两个不同的输入分别转换并输出。

1.1.1 信号示意图

在这里插入图片描述

1.1.2 波形示意图

该题官方没有给出。

1.1.3 输入描述

clk:系统时钟
rst_n:异步复位信号,低电平有效
a,b:4bit位宽的无符号数

(注意的是:官方代码并没有声明clk和rst_n,小伙伴们提交的时候注意自己加一下!!!)

1.1.4 输出描述

c,d:4bit位宽的无符号数

(这里应该是官方笔误,是四位的就对了)

1.2 解题思路

主要还是考察function的细节点,题目本身不难,就是大端小端进行转换。这些知识点在本篇文章的前言部分已经有说明了,自行查看。

1.3 代码实现

`timescale 1ns/1ns
module function_mod(
    input clk,
    input rst_n,
	input [3:0]a,
	input [3:0]b,
	
	output [3:0]c,
	output [3:0]d
);
    function [3:0] big22small;
        input [3:0] big_small;
        begin
            big22small[0] = big_small[3];
            big22small[1] = big_small[2];
            big22small[2] = big_small[1];
            big22small[3] = big_small[0];
        end
    endfunction

    assign c = big22small(a);
    assign d = big22small(b);
endmodule

1.4 测试文件

待更。。。

1.5 仿真波形

待更。。。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值