半加器的Verilog描述及测试程序

半加器功能:完成两个一位二进制数的加法,不考虑进位输入。

真值表                                         逻辑图                    

            

半加器的Verilog描述

module h_adder (A,B,SO,CO);
	input A,B;
	output SO,CO;
	assign SO=A ^ B;
	assign CO=A & B;
endmodule 

测试程序

module test_bjq;

    reg A,B;
    wire SO,CO;
    
    h_adder h_adder1(A,B,SO,CO);
    initial//只在仿真开始时执行一次,只能用于测试程序
        begin
            #0 A = 1'b0; B = 1'b0;
            #5 A = 1'b0; B = 1'b1;
            #5 A = 1'b1; B = 1'b0;
            #5 A = 1'b1; B = 1'b1;
            #5 $finish;
        end
        
    initial
        $monitor("A
  • 4
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值