基于cordic ip核实现arctan

基于cordic ip核实现arctan@TOC

基于cordic ip核实现arctan

最近在研究相位补偿电路,需要用到反正切函数求解相位差,因此学习了该ip核的使用,写一篇小记录,希望能帮到有需要的盆友。

IP核介绍

简单粗暴地介绍一下IP核的使用。
在这里插入图片描述

  1. 首先将functional selection选为arc tan,随后设置输入位宽和输出位宽。
    需要注意的是,输入数据默认格式为“有2bit整数位的有符号定点小数”,输出数据默认格式为“有3bit整数位的有符号定点小数”,依据需要制定位宽即可。
    在这里插入图片描述

  2. 图中设置的input width为16bit,即cos和sin值都用16bit来表示,因此可以看到数据端口的输入数据总位宽为32bit。在实际写入数据时,将sin值写在[31:16]处,将cos值写在[15:0]处。
    需要注意的是,输入数据的总位宽一定为8的倍数。例如如果input width都为10bit,则有效数据为20bit,实际数据端口位宽为32bit。在实际写入数据时,需要将sin值写在[25:16]处,将cos值写在[9:0]处,[15:10]和[31:26]处补0即可。
    在这里插入图片描述

  3. 一通设置完以后,可以在左边栏看到延迟的时钟周期,如图所示延迟了20个时钟。
    在这里插入图片描述

仿真实现

为了验证该ip核的功能,写了一个.v文件,依次写入30°、45°、60°、60°、45°、30°、-30°、-45°、-60°、-60°、-45°、-30°… 的cos值和sin值,求取反正切的结果。
代码如下,较为基础,不做解释。

// A code block
module test_arctan(
input                   clk,
input                   rst_n,
output reg [15:0]      arctan_out_tdata,
output wire            arctan_out_tvalid
    );
 
 reg [2:0]                   state;
 reg [2:0]                   next_state;
 reg [4:0]                   cnt;
 reg [15:0]                  cos,sin;
 reg [31:0]                  arctan_in_tdata;
 reg                         arctan_in_tvalid; 
 wire[15:0]                  arctan_dout;
 
 localparam                  S_IDLE      =3'b001;
 localparam                  S_START     =3'b101;
 localparam                  S_CPT       =3'b100;
 localparam                  S_STOP      =3'b110;
 
 always@(posedge clk or negedge rst_n)
 begin
     if(rst_n==1'b0)
         state<=S_IDLE;
     else
         state<=next_state;
 end
 
 always@(*)
 begin
     case(state)
         S_IDLE:
             next_state<=S_START;
         S_START:
             next_state<=S_CPT;
         S_CPT:
             if(arctan_out_tvalid)
                 next_state<=S_STOP;
             else
                 next_state<=S_CPT;
         S_STOP:
             if(cnt<5'd23)
                 next_state<=S_START;
             else
                 next_state<=S_STOP;
        default:
            next_state<=state;
     endcase
 end
 
 always@(posedge clk or negedge rst_n)
 begin
     if(rst_n==1'b0)
         cnt<=0;
     else if(state==S_STOP && next_state!=state)
               cnt<=cnt+1;
           else
               cnt<=cnt;
 end
 
 always@(posedge clk or negedge rst_n)
 begin
     if(rst_n==1'b0)
         arctan_in_tvalid<=0;
     else if(state==S_START)
               arctan_in_tvalid<=1;
           else
               arctan_in_tvalid<=0;
 end
 
 always@(posedge clk or negedge rst_n)
 begin
     if(rst_n==1'b0)
         arctan_in_tdata<=0;
     else if(state==S_START)
               arctan_in_tdata<={sin,cos};
 end

 always@(posedge clk or negedge rst_n)
 begin
     if(rst_n==1'b0)
         arctan_out_tdata<=0;
     else if(next_state==S_STOP)
          begin
              if(cos[15]==1'b0)
                  arctan_out_tdata<=arctan_dout;
              else
                  arctan_out_tdata<=arctan_dout-16'b0110_0100_1000_0111;
          end
     else
         arctan_out_tdata<=arctan_out_tdata;
 end

 always@(*)
 begin
     case(cnt)
             5'd0: begin //30°
                       cos<=16'b0011_0111_0110_1100;//根号3/2
                       sin<=16'b0010_0000_0000_0000;//1/2                    
                   end    
             5'd1: begin //45°
                       cos<=16'b0010_1101_0100_0001;
                       sin<=16'b0010_1101_0100_0001;                   
                   end  
             5'd2: begin //60°
                       cos<=16'b0010_0000_0000_0000;
                       sin<=16'b0011_0111_0110_1100;                  
                   end 
             5'd3: begin //60°
                       cos<=16'b0010_0000_0000_0000;
                       sin<=16'b0011_0111_0110_1100;                  
                   end  
             5'd4: begin //45°
                       cos<=16'b0010_1101_0100_0001;
                       sin<=16'b0010_1101_0100_0001;                   
                   end                                                         
             5'd5: begin //30°
                       cos<=16'b0011_0111_0110_1100;//根号3/2
                       sin<=16'b0010_0000_0000_0000;//1/2                    
                   end    
             5'd6: begin //-30°
                       cos<=16'b1100_1000_1001_0100;
                       sin<=16'b0010_0000_0000_0000;                    
                   end 
             5'd7: begin //-45°
                       cos<=16'b1101_0010_1011_1111;
                       sin<=16'b0010_1101_0100_0001;                    
                   end 
             5'd8: begin //-60°
                       cos<=16'b1110_0000_0000_0000;
                       sin<=16'b0011_0111_0110_1100;                    
                   end                                                                           
             5'd9: begin //-60°
                       cos<=16'b1110_0000_0000_0000;
                       sin<=16'b0011_0111_0110_1100;                    
                   end                        
             5'd10: begin //-45°
                       cos<=16'b1101_0010_1011_1111;
                       sin<=16'b0010_1101_0100_0001;                    
                    end                  
             5'd11: begin //-30°
                       cos<=16'b1100_1000_1001_0100;
                       sin<=16'b0010_0000_0000_0000;                    
                    end           
             5'd12: begin //30°
                      cos<=16'b0011_0111_0110_1100;//根号3/2
                      sin<=16'b0010_0000_0000_0000;//1/2                    
                  end    
            5'd13: begin //45°
                      cos<=16'b0010_1101_0100_0001;
                      sin<=16'b0010_1101_0100_0001;                   
                  end  
            5'd14: begin //60°
                      cos<=16'b0010_0000_0000_0000;
                      sin<=16'b0011_0111_0110_1100;                  
                  end 
            5'd15: begin //60°
                      cos<=16'b0010_0000_0000_0000;
                      sin<=16'b0011_0111_0110_1100;                  
                  end  
            5'd16: begin //45°
                      cos<=16'b0010_1101_0100_0001;
                      sin<=16'b0010_1101_0100_0001;                   
                  end                                                         
            5'd17: begin //30°
                      cos<=16'b0011_0111_0110_1100;//根号3/2
                      sin<=16'b0010_0000_0000_0000;//1/2                    
                  end    
            5'd18: begin //-30°
                      cos<=16'b1100_1000_1001_0100;
                      sin<=16'b0010_0000_0000_0000;                    
                  end 
            5'd19: begin //-45°
                      cos<=16'b1101_0010_1011_1111;
                      sin<=16'b0010_1101_0100_0001;                    
                  end 
            5'd20: begin //-60°
                      cos<=16'b1110_0000_0000_0000;
                      sin<=16'b0011_0111_0110_1100;                    
                  end                                                                           
            5'd21: begin //-60°
                      cos<=16'b1110_0000_0000_0000;
                      sin<=16'b0011_0111_0110_1100;                    
                  end                        
            5'd22: begin //-45°
                      cos<=16'b1101_0010_1011_1111;
                      sin<=16'b0010_1101_0100_0001;                    
                   end                  
            5'd23: begin //-30°
                      cos<=16'b1100_1000_1001_0100;
                      sin<=16'b0010_0000_0000_0000;                    
                   end                                                                                                                                                 
             default: 
                   begin
                       cos<=16'b0000_0000_0000_0000;
                       sin<=16'b0000_0000_0000_0000;
                   end
     endcase
 end

 cordic_1 arctan (
      .aclk(clk),                                        // input wire aclk
      .s_axis_cartesian_tvalid(arctan_in_tvalid),  // input wire s_axis_cartesian_tvalid
      .s_axis_cartesian_tdata(arctan_in_tdata),    // input wire [31 : 0] s_axis_cartesian_tdata
      .m_axis_dout_tvalid(arctan_out_tvalid),            // output wire m_axis_dout_tvalid
      .m_axis_dout_tdata(arctan_dout)              // output wire [31 : 0] m_axis_dout_tdata
    );   
    
endmodule

问题分析

调试过程中有遇到一个问题。

  1. 当输入的正弦值为正,余弦值为正时,反正切得到的结果是对的,例如输入sin30°,cos30°时,输出结果为pi/6。
  2. 当输入的正弦值为负,余弦值为正时,反正切得到的结果也是对的,例如输入-sin30°,cos30°时,输出结果为-pi/6
  3. 但是当输入的正弦值为正,余弦值为负时,反正切得到的结果为正值,例如输入sin30°,-cos30°时,输出结果为6pi/5,不符号用户手册中对输出结果为-pi~pi的描述。

为了使第三种情况输出结果也为-pi/6,查了很多资料,最后在xilinx社区找到了解决办法。
在这里插入图片描述
因此,在代码中加了一段对cos值符号位的判断,由此来决定是否对输出结果进行“减去2pi”的操作。

 always@(posedge clk or negedge rst_n)
 begin
     if(rst_n==1'b0)
         arctan_out_tdata<=0;
     else if(next_state==S_STOP)
          begin
              if(cos[15]==1'b0)
                  arctan_out_tdata<=arctan_dout;
              else
                  arctan_out_tdata<=arctan_dout-16'b0110_0100_1000_0111;
          end
     else
         arctan_out_tdata<=arctan_out_tdata;
 end

最终输出正常,仿真结果如下。(图中为输入输出均为32bit的情况)
在这里插入图片描述

参考链接

xilinx社区的完整问题描述和解决方案: link.

  • 12
    点赞
  • 74
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
### 回答1: Cordic IP核是一种用于计算正弦和余弦函数的数学运算IP核。在进行Cordic IP核的sin/cos测试之前,我们需要编写一个测试台(testbench)来进行测试。 测试台的设计目标是在输入一组角度值后,计算出对应的正弦和余弦值,并与预期值进行对比,以确保Cordic IP核的功能正确性。 首先,我们需要确定测试的角度值范围。我们可以选择一个角度的正弦和余弦值是已知的,并以这个角度为中心,测试一定范围内的角度值。例如,我们可以选择0°到360°之间的某个角度值进行测试。 测试台的流程如下: 1. 定义输入信号和预期输出信号。 2. 将输入信号传递给Cordic IP核,并接收其计算得到的结果。 3. 将Cordic IP核计算的结果与预期输出进行对比,检查它们之间的误差是否在可接受范围内。 4. 如果误差在可接受范围内,则测试通过,输出测试结果。 5. 如果误差不在可接受范围内,则测试失败,输出测试结果。 在测试台中,我们可以通过生成随机角度值的方法,对Cordic IP核进行多组测试,以覆盖更广泛的角度范围。 测试台设计中需要考虑的几个重要因素包括输入输出数据的精度、测试角度的覆盖范围和测试结果的判定标准。通过合理设置这些参数,我们可以编写一个有效的Cordic IP核sin/cos测试台,以确保IP核的正确性。 ### 回答2: Cordic IP核是一种用于计算三角函数的硬件核心,通过使用迭代方法来实现高效的计算。为了测试Cordic IP核的sin/cos功能,我们可以编写一个Testbench。 Testbench的目的是验证Cordic IP核的输出结果是否正确。在Testbench中,我们首先需要生成一系列的输入值作为测试用例,这些输入值可以按照一定的步长递增或随机生成。然后,将这些输入值送入Cordic IP核进行计算,得到对应的sin和cos值。 接下来,我们需要知道这些测试用例的预期输出值。我们可以使用软件或数学库函数计算标准的sin和cos值作为参考。然后,将Cordic IP核的输出结果与预期输出值进行比较。如果两者非常接近,即可认为Cordic IP核的sin/cos功能正确。 在Testbench中,我们可以使用assert语句对比Cordic IP核的输出结果和预期输出值。如果两者不匹配,assert语句会给出错误提示。此外,我们还可以输出测试结果是否通过的信息,以便于判断Cordic IP核的性能和准确性。 最后,在Testbench中我们还可以记录测试的运行时间以及任何其他有用的信息,以便于分析和评估Cordic IP核的性能。 总结起来,通过编写一个完善的Testbench,我们可以对Cordic IP核的sin/cos功能进行全面的测试和评估,确保其在实际应用中的正确性和可靠性。 ### 回答3: Cordic是一种数字算法,通常用于计算三角函数(如sin和cos函数)。Cordic IP核是一种可以在FPGA实现Cordic算法的IP核。 进行Cordic IP核的sin/cos测试需要编写一个测试平台,也就是所谓的testbench。测试平台主要用于验证Cordic IP核的正确性和性能。 测试平台的第一步是生成输入信号,即角度(或弧度)的值。可以使用随机数生成器来生成不同的角度输入。然后将这些角度值提供给Cordic IP核进行计算。 下一步是为Cordic IP核建立期望输出。可以使用系统级建模(System-Level Modeling)来计算期望的sin和cos值。使用已知的数学公式,将输入的角度值代入,计算出对应的sin和cos值。 然后,将输入信号提供给Cordic IP核,将计算结果与期望输出进行比较。可以使用assert语句来进行比较。如果计算结果与期望输出相差很小,可以认为测试通过。否则,可能需要检查IP核实现是否存在问题。 在测试过程中,还可以记录每个输入角度和对应的计算结果,以便后续分析和调试。可以将这些记录在一个文件中,或者将其显示在仿真工具的波形窗口中。 测试完所有的输入角度后,可以进行一些统计分析,如计算平均误差、最大误差等。这些分析可以用于评估Cordic IP核的性能和准确性。 总之,Cordic IP核的sin/cos测试需要编写一个测试平台,生成输入信号,计算期望输出,并将计算结果与期望输出进行比较。通过分析比较结果,可以评估IP核的性能和准确性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值