vivado rom ip核的使用

目录

实验目的

实验代码

测试文件

实验结果及分析


实验目的

通过上篇文章生成的coe文件初始化rom核,并将数据读出,验证与写入的是否一致,以此来验证对ROM核的控制是否正确。

实验代码

module ip_rom(
    input clk,
    input rst_n
    );
    
    reg [15:0] trig_addr;
    reg trig;
    always@(posedge clk or negedge rst_n)
        if(!rst_n)
            trig_addr <= 0;
        else
            trig_addr <= trig_addr + 1'b1;
    always@(posedge clk)
        if(trig_addr == 0)
            trig <= 1'b1;
        else
            trig <= 1'b0;
    
    reg [16:0] addr;
    always@(posedge clk)
    begin
        if(trig)
            addr <= 0; 
        else if(~addr[16])
            addr <= addr + 1'b1;
    end
    
    wire [15:0] xn_re, xn_im;
    lfm     lfm_inst (
  .clka(clk),    // input wire clka
  .addra({1'b0,addr[15:0]}),  // input wire [16 : 0] addra
  .douta(xn_re),  // output wire [15 : 0] douta
  .clkb(clk),    // input wire clkb
  .addrb({1'b1,addr[15:0]}),  // input wire [16 : 0] addrb
  .doutb(xn_im)  // output wire [15 : 0] doutb
);
endmodule

测试文件

`timescale 1ns / 1ps
module ip_rom_tb();

reg clk, rst_n;

initial begin
    clk = 1'b1;
    rst_n = 1'b0;
    #20
    rst_n = 1'b1;
end
always #10 clk <= ~clk;
ip_rom  ip_rom_inst(
    .clk  (clk),
    .rst_n (rst_n)
    );

endmodule

实验结果及分析

 对红色方框中的时序进行分析:当trig_addr为0时(计数器计数溢出后清零),trig信号拉高(延迟一拍,这是时序电路的特点);当trig信号拉高后,addr清零,开始从rom中读数,因为rom核的延迟效果,所以地址为0时读取到的不是第一个数。

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vado ROM IP核是一种在Xilinx Vivado开发环境中使用IP核,用于实现只读存储器(ROM)功能。ROM IP核可以从事先存储的数据中读取信息,并在设计中使用这些数据。该IP核可以配置为具有不同的位宽和深度,以满足设计需求。例如,可以使用MATLAB生成的coe文件作为输入,其中包含了不同波形(正弦、方波、三角波)和组合采样文件的数据。 在使用Vivado进行ROM IP核设计时,您需要编写仿真文件,其中定义了时钟、复位和数据地址信号,并将ROM IP核实例化到仿真设计中。通过仿真文件的代码,您可以验证ROM IP核的功能和正确性。 下面是一个关于如何使用Vivado ROM IP核的例子,其中包含了时钟、复位和数据地址信号的定义,并将ROM IP核实例化到仿真设计中: ```verilog `timescale 1ns / 1ps module tb_rom(); reg sys_clk; reg sys_rst_n; reg [7:0 addra; wire [7:0 douta; // Initialize sys_clk initial begin sys_clk = 1'b1; sys_rst_n <= 1'b0; #20; sys_rst_n <= 1'b1; end // Change sys_clk always #10 sys_clk = ~sys_clk; // Increment addra always @(posedge sys_clk or negedge sys_rst_n) begin if (sys_rst_n == 1'b0) begin addra <= 8'b0; end else if (addra == 8'd255) begin addra <= 8'b0; end else begin addra <= addra + 1'b1; end end // Instantiate ROM IP核 rom tb_rom( .addra(addra), .douta(douta), .sys_clk(sys_clk) ); endmodule ``` 这是一个简单的仿真文件示例,其中定义了sys_clk时钟信号、sys_rst_n复位信号和addra数据地址信号,并将这些信号与ROM IP核实例中的相应端口连接起来。通过仿真文件,您可以验证ROM IP核在仿真级别中的功能和行为。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

吾日叁問

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值