使用脚本调用Modelsim软件对VHDL设计进行仿真(1)

使用脚本对VHDL语言进行仿真

vlib work
vmap work work
vcom -work work ../../design/bh45.vhd
vcom -work work tb_vhdl.vhd
vsim -voptargs=+acc work.tb_vhdl
add wave -position insertpoint sim:/tb_vhdl/*
run 10us

vlib是建立work库,

vmap是库的映射,第一个work是库的名称,第二个work是库的路径,

vcom是对.vhd文件进行编译,

vsim是调用modelsim软件对tb_vhdl文件进行仿真,

add是添加波形信号,

run 10us是调用modelsim软件仿真10us。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值