自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

夜半少年的博客

我相信,金子在哪都会发光。

  • 博客(11)
  • 资源 (40)
  • 收藏
  • 关注

原创 VS Code 编译不了C模块化程序,undefined reference to `sort_merge‘

要编译的源码如下图:cSort.c、cSort.h是自己写的一个简单的排序函数库,main.c 作为测试cSort的主源程序但是,直接按[运行],结果产生编译错误,如下图:我们可以看到,如果直接按下[运行],编译器会指定以"gcc main.c -o main"命令执行编译的但实际上,要编译的源程序不只是main.c,cSort.c也要编译自定义的cSort.h头文件更要指定好,不然编译器就会向上图一样报错了。我们可以自己敲入命令(两种方式都可以),使得编译器能正确编译我们的

2020-08-30 00:01:07 1045

原创 Quartus II新版本的Tools菜单中没有“MegaWizard Plug-In Manager“

新版本的Quartus II 14.0 的Tools菜单中已经没有“MegaWizard Plug-In Manager”,但改名为“IP CATALOG”了。【Tools】——>【IP CATALOG】——>看右侧栏,enjoy for youself !有些小伙伴跟着步骤操作了一番,发现怎么狂点【IP CATALOG】没反应,那是为什么呐?因为【IP CATALOG】向导窗口已经打开了,在主窗口右侧栏,嘻嘻~...

2020-08-29 23:24:55 11798 8

转载 FPGA 浮点数乘法运算 VS 定点数乘法运算

例子:乘数、被乘数浮点数如下2.918 3.1415926浮点数转成定点数要定义小数需求多少位,整数需求多少位。第一步:[15](最高位): 1位符号位[14:12]: 3位整数位宽,可以表示0 ~ 7,即8个值[11:0]: 12位的小数位宽,最大精度:2^(-12)这16位变量的最大值 = 16’d32767,最小值 = 16’d-32768乘法结果数[31](最高位): 1位符号位[30:25]: 6位整数位宽,可以..

2020-08-27 14:53:04 2002 1

原创 CDMA通信系统中广义WALSH函数的研究(更新中)

基于Chrestenson函数集的扩频通信的FPGA实现复杂度调查C(short term for Chrestenson) code of complex number is investigated for possible applications in Spreading communications and the complexity of FPGA based circuit is discussed.Two Possible advantages:A), the commu..

2020-08-26 17:24:58 445

原创 【C语言基础】编程规范——注释

①、规范的注释模板之一1、头文件的头部注释/*** Copyright (C), 2020-2020, github.com/XIN-Mr.* File name: test.h // 文件名* Author:XIN-Mr Version:V1.0 Date:2020.8.5 // 作者、版本及完成日期* Description: // 用于详细说明此程序文件完成的主要功能,与其他模块 // 或函数的接口,输出值...

2020-08-05 13:00:39 3110

原创 【C语言基础自学课程】 03- 流程控制

循环结构forfor(表达式1;表达式2;表达式3){ 语句1; 语句2; ···· for() xxx}a.计算表达式1的值b.计算表达式2的值并判断,如果为真,执行{}中的语句;如果为假,退出循环c.计算表达式3的值;d.重复步骤b------------------------------whilewhile(表达式){ 语句1; 语句2; ····}先计算表达式的值并判断,如果为真,...

2020-08-03 22:43:02 146

原创 【C语言基础自学课程】 02- 运算符和表达式

算术运算符% ---- 求余,左右两边必须都是整数求余tips: od -c xxx.c > yyy.txt -------帮助查找\211 \357之类的错误字符(中文)关系运算符逻辑运算符注意:非0即真----------------------------------位运算符& 按位与 | 按位或。有1结果为1,全0结果为0 ^ 按位异或 。相同结果为0,不同结果为1 ~ 按位取反 &...

2020-08-03 22:32:43 200

原创 【Linux基础】常用vi/vim、shell命令

=============常用shell命令===============(1)ls ---罗列出当前目录下的文件 -a 将隐藏文件也罗列出来 -l 长格式输出(2)cd ---切换目录 ~ ---- 当前用户的家目录 / ----- 根目录 .. ---- 上级目录 . ---- 当前目录 gec @ ubuntu : ~ $ 当前用户名 ...

2020-08-03 19:04:20 354

原创 【C语言基础自学课程】 01 - C语言编程基本知识

1、CPU、内存、硬盘、显卡、主板、显示器之间的关系Windows电脑下打开并观看一张图片的过程:先将图片数据文件存储在硬盘上,硬盘会将这个文件的信息读取到内存里去---->当CPU需要读取某图片文件时CPU从内存中调用该图像文件--->显卡处理这些图形数据以方便传输与显示---->利用显示器进行图像显示。主板,是一个核心电路板,提供了硬盘、显卡、内存条等配件插口的硬件接口,是以上配件插口的承载体。2、Hello world程序是如何运行起来的(更新中.

2020-08-02 23:49:51 432

原创 【C语言基础自学课程】 前言 - C语言简介

1、为什么学习C语言?(1) C语言的起源和发展第一代:机器语言 二进制 0000 0001 1101 10000第二代:汇编语言 '伪'代码,ADD AX, BX第三代:高级语言 a+b①、结构化编程语言:C语言、visual basic等;②、面向对象:C++、Java、C#等ALG0L60(50年代末)---- CPLBCPL(60年代)---- Basic语言(70年代初,代表作:Unix系统)---- C语言(19...

2020-08-02 01:43:54 413

原创 资料(C语言和C++实战教程、电赛备赛资料)

一、C语言和C++实战教程链接:https://pan.baidu.com/s/1p5X9vMu_Wwfzwsn02llJ-w提取码:jipd二、电子设计竞赛资料①全国大学生电子设计竞赛培训系列教程-基本技能训练与单元电路设计链接:https://pan.baidu.com/s/1n4jTViUp61uQsaIyhfOyxw提取码:qfmc②全国大学生电子设计竞赛常用电路模块制作完整版 _300页链接:https://pan.baidu.com/s/1...

2020-08-01 21:43:57 519

【Ghost工具】用于制作DOS启动盘的工具

​   常用的DOS启动盘制作工具有USBoot、Ghost及FlashBoot等,本次DOS启动盘使用Ghost工具制作。 制作前准备 1、装有win10(或win7)系统的PC机,1台; 2、U盘,1个;(建议用户选择兼容性较高的金士顿U盘;此次演示使用8G容量U盘制作,用户可根据控制器硬盘大小选择U盘容量) 2、如下DOS启动盘制作工具,两个软件: 工具软件“Ghost32.exe”。将附件“工具”压缩包里的“Ghost32.rar”解压至Ghost32.exe并拷贝至PC机本地; 镜像文件“DOS710.GHO”。将附件“工具”压缩包里的“DOS710.GHO”拷贝至PC机本地。 详细教程文章→https://blog.csdn.net/qq_42605300/article/details/136595742【[Ghost工具]用于制作DOS启动盘的工具】 ​

2024-03-10

【Altium Designer 】自定义格式 BOM模板

Altium Designer 自建BOM模板。 经验帖子:https://blog.csdn.net/qq_42605300/article/details/124310134 ① 新建表格。 我们用Exce建立一个Excle表格,做一个xx.xlt的2003的模版文件,取文件名为:AltiumDesiger PCB BOM Template.xlt。 ​ 接下来我们输入自己需要保存的参数。 这里我自己需要的内容如下: ② 表格移动 将AltiumDesiger PCB BOM Template.xlt文件存放在这个路径:Altium Designer安装目录\Documents\Templates ③ 在菜单栏中Reports-> Bill of Materials ④ 选择BOM template 找到 Excel Options,Template下拉列表里选择刚刚新建的AltiumDesiger PCB BOM Template.xlt ⑤ 最后勾选“Open Exported”→点击Export...,即可输出并查阅BOM文件 ​

2022-04-21

一文带你真正了解当下的嵌入式系统 PPT

1-1 嵌入式系统概述 1-1-1 基本概念 1-1-2 由来 1-1-3 发展历史 1-1-4 分类及特点 ... 1-1-8 微控制器MCU 1-1-9 应用处理器MAP 1-2 课后总结: 一、嵌入式系统的基本含义 二、嵌入式系统的由来、特点及分类 三、MCU的基本含义,以及MCU出现前后测控系统 ———————————————— 原文链接:https://blog.csdn.net/qq_42605300/article/details/104495204

2022-03-02

【STM32单片机-编程入门】LED闪烁(寄存器操作、标准库函数方式)

实验平台:正点原子STM32F103ZET6核心板(程序已通过验证) (适用STM32F103系列单片机) 经验文章→ https://blog.csdn.net/qq_42605300/article/details/121154360 1、main主函数 2、LED头文件: 3、LED源文件:

2022-03-02

STM32F103-PAJ7620-Project.zip

基于STM32F103单片机,通过PAJ7620手势识别模块实时检测并打印手势类型到串口调试助手。各位同学可基于该例程并根据自己的项目需求,拓展工程源码。更多详情,请浏览博客文章→https://xinso.blog.csdn.net/article/details/120616257

2021-12-12

HCIE-R&S-实验备考宝典-私藏秘籍-考试必过.zip

0、资料的使用、考试环境 1、先练习TS(完整版一个半小时内,测试版本一个小时内完成算合格)LAB TS -拓扑以及答案 2、T3(TS练习完练习这个))LAB T3 -拓扑以及答案 3、诊断TAC(考前15天以上练习)) 4、测试题(类似考试环境,必做)) 5、其他资料-HCIE-R&S-私藏学习笔记

2021-11-07

Everything-1.4.1.1009.x64.zip

Everything,适用Windows 64位系统.x64 ,双击打开→选择“安装Everything服务”,等待完成安装可以使用,使用技巧→

2021-10-23

基于Verilog和FPGA的简易LED数字时钟.7z

本资源压缩包内含整个Quartus项目工程Top_proj。本项目基于Verilog语言和Quartus II平台设计了一个FPGA简易数字钟,能实现小时、分钟和秒的计时及显示,其中,通过控制时、分和秒实现时钟计时的计数模块是本次设计的核心。计数模块的关键在于能够理解三个计时单位之间的联系,即秒计数满60产生一个向分钟的进位,分钟计数满60产生一个向小时的进位,这两个进位信号将小时、分和秒联系起来,是理解本设计的关键点。 为时钟设计一个初值设置控制信号,按下设置信号时能利用开发板上的拨码开关或按键对时间进行校对设置。https://xinso.blog.csdn.net/article/details/106558166

2021-06-04

STM32 定时器实验(定时采集按键)

STM32 定时器实验(定时采集按键)

2021-05-08

Unicode编码转UTF-8编码程序

Unicode转UTF-8

2021-05-08

基于Linux C语言编写的MQTT客户端和WebSocket客户端(物联网数据发布和订阅)

说明:工程分为两个。一个是Linux C语言编写的MQTT客户端,另一个是websocket编写的MQTT客户端,先运行Linux的,再运行websocket就出实验现象了。(发布的主要是温湿度数据、继电器控制状态、GPS定位系统等等)

2021-05-08

STM32 BH1750光照强度模块 函数库+TIMER+USART.7z

STM32 BH1750光照强度模块 函数库,附带TIMER、USART库

2021-04-19

STM32 光照强度传感器 BH1750 GY-30库函数

【STM32库函数】光照强度传感器BH1750 GY-30

2021-04-19

STM32 I/O方向寄存器语句生成器.exe 省去人工计算

STM32 I/O方向寄存器语句生成器,只需要输入GPIO端口、引脚号、I/O口方向,即可一键生成对应正确语句,省去人工计算

2021-04-19

STM32F103RC WiFi通信 ESP8266 机智云远程控制

STM32F103RC ESP8266模块 机智云 远程控制 成功实例,文件夹内有详细说明

2021-04-11

STM32单片机 DHT22温湿度数据采集 实验项目

基于STM32单片机的 DHT22模块 温湿度数据 采集的Keil工程,串口1间隔1s实时打印温湿度数据,亲测有效! 资料文章:https://blog.csdn.net/qq_42605300/article/details/115574731

2021-04-10

delay-sys-usart-代码文件【用于STM32的DHT22温湿度采集】.7z

基于STM32的DHT22温湿度采集,附件程序文件,也适用于DHT11、DHT21模块

2021-04-10

微信小程序与STM32互传案例.7z

微信小程序与STM32互传案例——LED远程控制 (MQTT+ESP8266)实现物联网远程监控。 微信小程序项目软件代码 + STM32单片机MDK工程代码。 附:项目工程均附有使用说明

2021-03-13

0-software-tool.7z

0_software_tool.7z

2021-03-05

W.P.S.10314.12012.2019.exe

W.P.S.10314.12012.2019.exe

2021-03-05

VC6.0 修正版本exe

Microsoft Visual C++ 6.0 精简修正版exe

2021-02-09

CC2540 USBDongle驱动-Cebal驱动.zip

CC2540 USBDongle驱动_Cebal驱动。使用方法:设备管理器→右键“CC2540 USBDongle”选择“更新驱动程序”→“浏览计算机本地的驱动目录”

2021-02-05

Smith Setup V4.1.0.0.7z

Smith Setup V4.1.0.0,最新版本的斯密斯圆图工具,Win32, Win64 完美兼容,安装即用

2021-02-05

JLinkARM-Keil-v5-Segger.7z

,当进行J-Link调试仿真发生JLinkARM.dll出错时,把这个文件内容覆盖Keil_v5\ARM\Segger目录就正常回来了

2021-02-05

NRF52832协议栈固件 s132-nrf52-6.0.0-softdevice.hex

NRF52832协议栈固件,s132_nrf52_6.0.0_softdevice.hex,亲测,可用

2021-02-05

NRF52840协议栈固件-softdevice.hex文件

s140_nrf52_7.2.0_softdevice.hex、s140_nrf52_6.0.0_softdevice.hex等等均可用于NRF52840开发

2021-02-05

比思电子 KGS - 25 周年庆PADS 9.5 中文有声视频电子教程.rar

PADS 9.5 实用电子教程 - PADS 9.5 实用电子教程 - 有声视频 (中文) (中文)

2021-02-05

JLink-Windows-V614b软件

JLink_Windows_V614b,很有用的J-Link调试工具,例如RTT-Viewer、JFlash等等

2021-02-05

SmartRF-Packet-Sniffer-2.18.1-&-2.18.0.zip

一个协议包监听工具,也叫抓包软件,可以通过SmartRF Packet Sniffer,使用cc2540 usb-dongle抓取包,比如Setup_SmartRF_Packet_Sniffer_2.18.1可以抓取BLE广播等等

2021-02-05

模电数电基础知识金牌面试题集绵

100道金牌面试题集绵,祝你稳稳的通过面试! 1. 一般情况下,面试官主要根据你的简历提问,所以一定要对自己负责,把简历上的东西搞明白; 2. 个别招聘针对性特别强,就招目前他们确的方向的人,这种情况下,就要投其所好,尽量介绍其所关心的东西。 3. 其实技术面试并不难,但由于很多东西都忘掉了,才觉得有些难。所以最好在面试前把该看的书看看。 4. 虽然说技术面试是实力的较量与体现,但是不可否认,由于不用面试官/公司所专领域及爱好不同,也有面试也有很大的偶然性,需要冷静对待。不能因为被拒,就否认自己或责骂公司。 5. 面试时要take it easy,对越是自己钟情的公司越要这样。

2020-10-24

串口调试助手 & 网络调试助手 一体.的嵌入式调试工具

串口调试助手与网络调试助手相结合为一体的调试工具,嵌入式必备神器。 1、串口要选择好COM口_不懂就打开'设备管理器'查看‘端口与COM口’ __其他不用改。 2、网络调试助手:根据选择开启TCP客户端连接、TCP服务器创建(服务器IP一定要是路由器分配给你的IP地址,可以到CMD命令行---敲入ipconfig查看)

2020-07-22

基于STM32的仓储环境远程监控系统.zip

硬件环境:STM32F103VET6(野火STM32指南者) 开发环境:Keil5_MDK-ARM 1、 实时监测仓储温湿度、光照强度等环境参数并将数据记录,红外检测仓储室内有无人情况。 2、 采用ESP8266模块以STA模式数据透传,通过TCP通信向贝壳物联平台上传实时数据与接收远程控制消息。 3、 TFT-LCD液晶屏显示环境参数实时数据,客户可通过小程序远程监测仓储环境情况并控制继电器模拟加热、制冷、加湿、抽湿等功能的模拟的状态。 PS:文档有使用说明readme,很详细!!!

2020-07-22

【FPGA系统-Verilog设计实验汇总】10个数字系统电路

一、4位半加法器的Verilog实现 二、138译码器的Verilog实现 三、并行输入串行输出移位寄存器 四、带异步复位和置位、上升沿触发的触发器 五、模10计数器 六、停车位剩余计数显示牌的Verilog实现 七、银行排号机系统电路 八、分频器(2的偶数分频、16分频(占空比为2:14)、5分频) 九、四选一数据选择器——testbench仿真 十、ASK调制、FSK调制(正弦波) ———————————————— 原文链接:https://blog.csdn.net/qq_42605300/article/details/105760677

2020-06-18

软件工程-最全的课后习题汇总(含参考答案与解析).zip

软件工程—课程全部习题汇总(1~13章,含参考答案与解析),答案更整洁! 软件系统分析章节-五道精选习题、形式化说明技术+总体设计+详细设计、软件测试章节(计算题)、面向对象章节(大题)、总复习(60多道题:简答题+大题)。 希望对大家的期末考试复习有帮助!

2020-06-15

eNSP实验配置.zip

eNSP实验配置,包含端到端的基础网络、AR路由器的基本配置和交换机的MAC地址学习三个小实验,fireshark抓包文件也保存在里面了;另外还有一个简单的静态路由配置实验,作为拓展实验。 PS:里面已保存有配置了,如果想自己练一下手,可以备份一份压缩文件,删除掉配置文件夹,然后就可以练习了,非常适合新手入门。 文章教程:https://blog.csdn.net/qq_42605300/article/details/104501212 https://blog.csdn.net/qq_42605300/article/details/104501907 https://blog.csdn.net/qq_42605300/article/details/104560743

2020-04-26

软件工程-软件测试章节课后精选习题集(含详细答案解析).docx

含详细答案解析,内容:软件测试、维护等 题目: 对一个包含10000条机器指令的程序进行一个月集成测试··· 请至少使用两种方法,分别计算下列所示两个流图的环形复杂度 如对一个长度为100000条指令的程序进行集成测试··· 什么是模块测试和集成测试?它们各有什么特点? 设计下列伪码程序的语句覆盖和路径覆盖测试··· 在测试一个长度为24000条指令的程序时··· 设计下列伪码程序的分支覆盖和条件组合覆盖测试··· 软件的可维护性与哪些因素有关?在软件开发过程中··· 说明模块耦合和模块内聚的类型和关系。 原文:https://blog.csdn.net/qq_42605300

2020-04-07

软件工程第二次课后习题.zip

第一题、画出下列伪码程序的流图,计算它的环形复杂度。你觉得这个程序的逻辑有什么问题吗? 第二题、 (1).假设只有SEQUENCE和DO_WHILE两种控制结构,怎么利用它们完成 IF_THEN_ELSE操作? (2). 假设只有SEQUENCE和IF_THEN_ELSE两种控制结构,怎么利用它们完成DO_WHILE操作? 第三题、 (1).为每种类型的模块内聚举一个例子。 (2).为每种类型的模块耦合举一个具体的例子。 第四题、 考虑下述的自动化图书馆流通系统: (1).试用有穷状态机说明上述的图书流通系统。 (2).试用Petri网说明第四题所述图书馆中一本书的循环过程,在规格说明中应该包括操作H、C及R。 第五题、 画出下列伪代码程序的流程图和盒图: 第六题、(1).举例说明形式化说明技术和非形式化方法的优缺点。 (2).在什么情况下应该使用形式化说明技术?使用形式化说明技术应遵守哪些规则?

2020-03-27

软件工程思考分析题答案文档+流程图文件(visio).zip

五道思考题答案集绵 1、某医院打算开发计算机患者监护系统 问题定义: 开发这个系统的可行性分析: 系统分析图 可行性分析逻辑图 2、某航空公司拟开发一个机票预定系统。 问题定义: 开发这个系统的可行性分析: 机票预定系统流程图 3、银行计算机储蓄系统 问题定义: 系统中的数据对象。(用ER图描绘) 4、复印机的行为(状态转换图描绘) 5、某银行拟开发计算机储蓄系统。 问题定义: 开发这个系统的可行性分析 数据流程图

2020-03-16

静态路由配置实验.zip

实验要求 1、配置相关的IP/路由; 2、通过静态路由实现整个网络可以使R4能访问R2; 3、要求访问到R2的主路由为R4-->R3-->R1-->R2(其中主链路为GE0/0/0,另一个等价链路GE0/0/2),备份路由为R4-->R3-->R2,即R1失效了R3就直接访问R2。 原文链接:https://blog.csdn.net/qq_42605300/article/details/104665894

2020-03-05

静态路由配置实验.zip

实验平台环境:eNSP 实验大致拓扑:4个路由器配置静态路由,让PC主机之间可以相互ping通。 实验要求: 1、如上图配置相关的IP/路由; 2、通过静态路由实现整个网络可以访问两台PC; 3、要求从PC1到PC2经过AR2转发,从PC2到PC1经过AR3转发 实验笔记参考博客传送口:https://blog.csdn.net/qq_42605300/article/details/104633083

2020-03-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除