Verilog实现减法器

1)半减器
半减器只考虑当前两位二进制数相减,输出为差以及是否向高位借位。其真值表如下:

半减器真值表
得出其逻辑关系式:
d = x^y ; // ^异或运算
cin = ~x&y ;

Verilog 代码段:
半减器代码
仿真波形:
半减器仿真波形
2)全减器
而全减器还要考虑当前位的低位是否曾有借位,其真值表如下:
全减器真值表
得到其逻辑关系式:
d = x ^ y ^ cout ;
cin = (~x&(y^cout))|(y&cout) ;

Verilog 代码段:
全减器代码段
仿真波形:
全减器仿真波形

  • 28
    点赞
  • 131
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值