格雷码与二进制相互转换的verilog实现

7 篇文章 1 订阅
6 篇文章 0 订阅

  格雷码是一种错误最小化的编码方式,其相邻两编码之间仅有一位不同,因此有很强的抗干扰性。在 异步FIFO 中就使用到了 Gray Code。本博文给出自然二进制码(Binary Code)与格雷码(Gray Code)相互转换的 Verilog 实现。

自然二进制码(Binary Code)转格雷码(Gray Code)

/* 
 * file     : Gray2Binary.v
 * author	: 今朝无言
 * date		: 2022-10-01
 */
module Binary2Gray(
input		[Width-1:0]	bin,
output	reg	[Width-1:0]	gray
);
parameter Width	= 8;	//二进制与格雷码位宽
// 对于二进制码 B_{n-1},B_{n-2},...B_1,B_0
// 格雷码 G_{n-1},G_{n-2},...,G_1,G_0
// 对于最高位,G_{n-1} = B_{n-1}
// 对于其他位,G_i = B_{i+1} ^ B_i,i=0,1,2,...,n-2
// 其实最高位相当于 G_{n-1} = B_n ^ B_{n-1},而 B_n=0,因此 G_{n-1} = 0 ^ B_{n-1} = B_{n-1}

integer  i;
always @(bin) begin
	gray[Width-1]	<= bin[Width-1];
	for(i=0; i<Width-1; i=i+1) begin
		gray[i]		<= bin[i+1] ^ bin[i];
	end
end

// 下方写法相同
//assign gray = (bin >> 1) ^ bin;

endmodule

  RTL图如下:

在这里插入图片描述

格雷码(Gray Code)转自然二进制码(Binary Code)

/* 
 * file     : Gray2Binary.v
 * author	: 今朝无言
 * date		: 2022-10-01
 */
module Gray2Binary(
input		[Width-1:0]	gray,
output	reg	[Width-1:0]	bin
);
parameter Width	= 8;	//二进制与格雷码位宽
// 对于二进制码 B_{n-1},B_{n-2},...B_1,B_0
// 格雷码 G_{n-1},G_{n-2},...,G_1,G_0
// 对于最高位,B_{n-1} = G_{n-1}
// 对于其他位,B_i = G_i ^ B_{i+1},i=0,1,2,...,n-2
// 最高位相当于 B_{n-1} = G_{n-1} ^ B_n,而 B_n=0,因此 B_{n-1} = G_{n-1} ^ 0 = G_{n-1}

integer i;
always @(gray) begin
	bin[Width-1]	= gray[Width-1];		//注意要使用阻塞赋值,因为使用到了本轮计算的高位结果
	for(i=Width-2; i>=0; i=i-1) begin
		bin[i]		= bin[i+1] ^ gray[i];
	end
end

endmodule

  RTL图如下:

在这里插入图片描述

testbench & 测试结果

  Testbench文件如下:

`timescale 1ns / 1ps

module gray_tb;
parameter Width	= 8;

reg		[Width-1:0]	bin1;
wire    [Width-1:0]	gray;
wire	[Width-1:0]	bin2;

initial begin
	#100;
	change_bin1(5);

	#100;
	change_bin1(127);

	#100;
	$stop;
end

task change_bin1;
	input	[Width-1:0]	num;
	begin
		bin1	<= num;
	end
endtask

Binary2Gray #(.Width(Width))
Binary2Gray_inst(
	.bin	(bin1),
	.gray	(gray)
);

Gray2Binary #(.Width(Width))
Gray2Binary_inst(
	.gray	(gray),
	.bin	(bin2)
);

endmodule

仿真结果如下:
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

今朝无言

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值