状态机与时钟分频

87 篇文章 36 订阅 ¥19.90 ¥99.00
81 篇文章 11 订阅
该博客介绍了如何利用状态机在FPGA中实现时钟四分频,目标是达到0.25的占空比。通过分析输入输出信号,包括时钟输入clk和复位rst,以及输出clk_out,文章阐述了状态转换的设计思路,强调状态机在不同状态间的循环转换以及输出仅依赖于当前状态的原则。
摘要由CSDN通过智能技术生成

状态机与时钟分频

题目描述
使用状态机实现时钟分频,要求对时钟进行四分频,占空比为0.25

信号示意图
在这里插入图片描述
题目解读
首先考虑输入输出,作为分频电路,有一个时钟输入端,clk,输出端div3,再加一个复位端(这里不加也可)所以输入 clk,rst输出 clk_out再考虑状态转换的问题。4分频占空比0.25,可以为0100或1000都行。

在时钟的作用下,应该不停的在这四种状态下转换,并且输出仅仅依赖于当前的状态,所有的整数分频器都可以按照次方法来实现。
在这里插入图片描述

`timescale 1ns/1ns


module huawei7(
	input wire clk  ,
	input wire rst  ,
	output reg clk_out
);

parameter[1:0]  S0=2'd0,
                S1=2'd1,
				S2=2'd2,
          
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值