自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(16)
  • 资源 (7)
  • 收藏
  • 关注

原创 搭建DDR3的仿真环境

DDR3的仿真环境

2023-05-24 17:17:25 287

原创 滤波器之matlab与vivado的联合仿真

乘法混频输入信号为0.5MHz和5MHz,采用积化和差转换后的输出信号有两个输出频率,分别为4.5MHz和5.5MHz,由于之前设置的截止频率为4MHz,故理论上是不会产生滤波信号,接下来进行仿真验证。1、产生两个信号2.5MHz和5MHz,然后对其进行混频,注意matlab中混频有两种方法,一种是两个信号相加,另一种是两个信号相乘,这两种混频结果是不同的。采用2.5MHz和5MHz信号进行乘法混频,可知混频后的频率为2.5MHz和7.5MHz,故经过滤波后应可获得2.5MHz的滤波结果。

2023-03-24 11:45:51 2760 5

原创 Register/Latch pins with no clock driven by root clock pin

今天在使用vivado进行时序检查时遇到了这样一个问题:Register/Latch pins with no clock driven by root clock pin,它是在no_clock中提示的。在复位时未对其中的两个寄存器进行复位,锁存器也有可能是由于if else组合写的不全而导致的。锁存器大多数是由于代码写的不符合规范造成的,经过检查,发现工程的代码中存在问题。通过查看电路图发现这是一个锁存器。

2022-12-28 15:22:50 2352 1

原创 linux中设置vivado的编辑器为sublime

linux中设置vivado的编辑器为sublime

2022-12-01 11:23:03 477 1

原创 四层电梯状态机写法

本文的输入时钟clk1是一个100M的系统时钟,为保证烧录到板子后的小灯效果,故进行分频,但vivado烧录到板子上时存在一个问题,ila的时钟clk频率必须要大于JTAG的时钟频率(2.5倍),所以受限于JTAG的频率,时钟最多分到125kHz,那么就采用time1ms的方法进行进一步分频,最终达到的效果可以到10Hz,计算公式为100M/400/2/12500=10。

2022-11-02 17:59:07 248

原创 四层电梯设计verilog

本文介绍的是使用verilog进行四层电梯的设计。一共有四个按键,以及四个小灯key1,key2,key3,key4表示你要去的楼层,led1,led2,led3,led4在你按下相应的按键后会亮,直到电梯到达对应楼层后熄灭,如果电梯不在一楼且当前无按键操作,那么电梯将默认返回一楼,此时led1会默认亮起,且到达一楼后led1不熄灭,直到电梯处于上升状态且不在一楼熄灭。电梯每上升一个楼层将花费10个时钟周期,如果到达小灯亮的楼层,那么电梯会停留5个时钟周期,然后继续运行。

2022-10-27 10:21:38 1869 10

原创 MSP430系列官方例程以及库函数

MSP430F5229 (ti.com)https://dev.ti.com/tirex/explore/node?node=A__AMyJmWpECqQl1IlzgRD1Vw__msp430ware__IOGqZri__LATEST

2022-10-24 15:43:47 1805

原创 verilog中函数的调用

上例中 a=strstr (str1,str2),逗号前代表的是function中的第一个输入,逗号后代表第二个输入,若有多个输入依次添加,顺序不能混淆。str1代表的是 “ str1="uhdjnvjvfv" ”,str2代表的是“ str2="nvj" ”。

2022-10-11 10:46:56 1748

原创 verilog写入数据生成.txt文本文件

本文要生成的是256行16位宽的随机数文本文件

2022-09-27 20:57:22 2575

转载 深入理解FIFO以及同步FIFO和异步FIFO的verilog实现代码

FIFO即First In First Out,是一种先进先出数据存储、缓冲器,我们知道一般的存储器是用外部的读写地址来进行读写,而FIFO这种存储器的结构并不需要外部的读写地址而是通过自动的加一操作来控制读写,这也就决定了FIFO只能顺序的读写数据。...

2022-08-16 17:55:41 637

原创 有符号数的乘法运算

有符号数的乘法运算

2022-08-08 17:42:31 4734 1

原创 有符号数的加减法

有符号数的加减法,在计算机中减法运算都是通过加法来实现的,x-y=x+(-y)

2022-08-08 16:20:28 3342 2

原创 verilog运算符

编写verilog代码时必须有所了解的运算符

2022-08-05 14:17:26 747

原创 Linux下安装unrar流程(附下载链接)

解压linux中的压缩包文件

2022-08-03 17:37:42 2408 1

原创 算数移位寄存器

算数移位寄存器

2022-08-03 14:53:03 542

原创 语音信号的读取,分析,低通滤波和输出

实验目标:掌握matlab的程序设计方法 熟悉windows环境下语音信号采集的方法 学会使用FDATool设计低通滤波器 学会使用matlab对信号进行处理和分析实验过程:代码分析原始音频的处理:[y0,fs1] = audioread('原始音频.wav'); %读取原始音频信息n1=length(y0);%计算y0的长度t1=(0:n1-1)/fs1;%设置波形图横坐标f1=0.5*fs1*(0:n1-1)/n1;%频域x轴y1=fft(y0,2*n1); ..

2021-07-03 16:50:30 1493

xcelium18.03详细安装文档

xcelium18.03详细安装文档

2022-08-10

verdi详细安装文档

verdi详细安装文档

2022-08-10

vcs详细安装文档,很详细

vcs详细安装文档,很详细。

2022-08-10

spyglass详细安装教程

spyglass详细安装教程

2022-08-10

SCL11.12详细安装文档

SCL11.12详细安装文档

2022-08-10

vivado详细安装教程

vivado安装教程

2022-08-10

xcelium,verdi,vcs,scl的安装文档

自己安装xcelium,verdi,vcs,scl的过程分享

2022-08-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除