数电实验一——组合逻辑电路

实验目的与要求

实验一:简单组合逻辑电路设计

实验目的

(1)了解复合逻辑门的逻辑功能;

(2)熟悉译码器的工作原理和使用方法,熟悉数码管的工作原理及使用方法;

(3)熟悉数据选择器的工作原理和使用方法,掌握数据选择器的逻辑功能和测试方法,掌握数据选择器的基本应用。

(4)熟悉QuartusII软件或Vivado软件的使用,基于原理图进行组合逻辑电路的设计、仿真等。

实验原理

数据选择器也称多路开关,通过改变地址输入信号,可以在

多个数据输入中选择一个传送到输出。74151是一种常见的8选1

数据选择器,逻辑符号如图1-1所示,具有3位地址输入,8路

数据输入,一个使能信号,以及一对互补的输出。

实验内容

包括:

实验步骤:

1. 将下图1-15的电路在QuartusII或Vivado软件中进行原理图输入、编译、仿真,并下载到开发板中验证其结果。

                   图1-15 实验测试电路

  1. 调用元件库中的三-8线译码器DEC38,验证其功能,在QuartusII或Vivado软件中画出其电路图,编译、仿真后下载到开发板中,硬件测试其结果。
  2. 使用门电路设计四选一的数字选择器,在QuartusII或Vivado软件中画出其电路图,编译、仿真后下载到开发板中,硬件测试其结果。

关键设计原理图:

仿真波形:

实验结果分析:分析波形可知:ya=(ab)’

Yb=(a+b)’

Yc=a  b

4、广义译码器原理图及仿真波形:

总结与体会

         对这一次实验的学习总结、对实验的建议、实验体会,限制在80个字内

         这次实验让我了解了QuartusII软件的使用,初步掌握了画电路图,用软件进行波形仿真的能力,通过对波形的分析来验证自己设计的电路是否正确。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog 是一种硬件描述语言,用于描述数字电路和系统。在 Verilog 中,最基本的单元是模块。模块是数字电路的基本构成单元,可以看作是一个黑盒子,输入和输出端口用于与其他模块进行连接。下面介绍一下 Verilog 中常用的基础模块: 1. 按逻辑运算模块:包括与门(and)、或门(or)、非门(not)、异或门(xor)、同或门(xnor)等。这些模块通常使用符号表示,例如 "&" 表示与门,"|" 表示或门,"~" 表示非门,"^" 表示异或门,"~^" 表示同或门。 2. 选择模块:包括多路选择器(mux)、分配器(demux)、优先级编码器(priority encoder)等。这些模块的功能是从多个输入中选择一个或多个输出。例如,mux 模块有两个输入和一个输出,它根据一个控制信号来选择将哪一个输入输出到输出端口。 3. 计数器模块:用于计数器和定时器等应用场合。计数器模块可以是同步的或异步的,可以计数二进制数或十进制数,还可以进行加法或减法计数。 4. 存储器模块:包括寄存器和存储器等。这些模块用于存储数据,并提供读和写操作。存储器模块可以是同步的或异步的,可以是单端口或多端口的。 5. FSM(有限状态机)模块:用于描述状态机等应用场合。FSM 模块包括状态转移逻辑和输出逻辑,可以对输入信号进行处理并输出结果,还可以进行状态转移。 这些基础模块是 Verilog 中常用的构建模块,通过它们的组合可以实现各种数字电路和系统。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值