基于FPGA的ROM-VGA图像处理(老师好帅系列)

如出现看不懂,在评论区留下邮箱,直接发工程,希望大家都能学好FPGA

一.top层

module vga_rom_pic(
    input           sys_clk,        //系统时钟
    input           sys_rst_n,      //复位信号·
    //VGA接口                          
    output          vga_hs,         //行同步信号
    output          vga_vs,         //场同步信号
    output  [15:0]  vga_rgb         //红绿蓝三原色输出 
    ); 

//wire define
wire         vga_clk_w;             //PLL分频得到25Mhz时钟
wire         locked_w;              //PLL输出稳定信号
wire         rst_n_w;               //内部复位信号
wire [15:0]  pixel_data_w;          //像素点数据
wire [ 9:0]  pixel_xpos_w;          //像素点横坐标
wire [ 9:0]  pixel_ypos_w;          //像素点纵坐标    
    
//*****************************************************
//**                    main code
//***************************************************** 
//待PLL输出稳定之后,停止复位
assign rst_n_w = sys_rst_n && locked_w;
   
vga_pll	u_vga_pll(                  //时钟分频模块
	.inclk0         (sys_clk),    
	.areset         (~sys_rst_n),
    
	.c0             (vga_clk_w),    //VGA时钟 25M
	.locked         (locked_w)
	); 

vga_driver u_vga_driver(
    .vga_clk        (vga_clk_w),    
    .sys_rst_n      (rst_n_w),    

    .vga_hs         (vga_hs),       
    .vga_vs         (vga_vs),       
    .vga_rgb        (vga_rgb),      
    
    .pixel_data     (pixel_data_w), 
    .pixel_xpos     (pixel_xpos_w), 
    .pixel_ypos     (pixel_ypos_w)
    ); 
    
vga_display u_vga_display(
    .vga_clk        (vga_clk_w),
    .sys_rst_n      (rst_n_w),
    
    .pixel_xpos     (pixel_xpos_w),
    .pixel_ypos     (pixel_ypos_w),
    .pixel_data     (pixel_data_w)
    );   
    
endmodule 

1进行VGA分频25MHZ

通过分频自动生成


2对rom核读取图片数据

module vga_display(
    input             vga_clk,              //VGA驱动时钟
    input             sys_rst_n,            //复位信号
    
    input      [ 9:0] pixel_xpos,           //像素点横坐标
    input      [ 9:0] pixel_ypos,           //像素点纵坐标    
    output     [15:0] pixel_data            //像素点数据
    );    

//parameter define    
parameter  H_DISP = 10'd640;                //分辨率——行
parameter  V_DISP = 10'd480;                //分辨率——列

localparam POS_X  = 10'd200;                //图片区域起始点横坐标
localparam POS_Y  = 10'd100;                //图片区域起始点纵坐标
localparam WIDTH  = 10'd100;                //图片区域宽度
localparam HEIGHT = 10'd100;                //图片区域高度
localparam TOTAL  = 14'd10000;              //图案区域总像素数
localparam BLACK  = 16'b00000_000000_00000; //屏幕背景色

//reg define
wire        rom_rd_en;                      //读ROM使能信号
reg  [13:0] rom_addr;                       //读ROM地址
reg         rom_valid;                      //读ROM数据有效信号

//wire define   
wire [15:0] rom_data;                       //ROM输出数据

//*****************************************************
//**                    main code
//*****************************************************

//从ROM中读出的图像数据有效时,将其输出显示
assign pixel_data = rom_valid ? rom_data : BLACK; 

//当前像素点坐标位于图案显示区域内时,读ROM使能信号拉高
assign rom_rd_en = (pixel_xpos >= POS_X) && (pixel_xpos < POS_X + WIDTH)
                    && (pixel_ypos >= POS_Y) && (pixel_ypos < POS_Y + HEIGHT)
                     ? 1'b1 : 1'b0;

//控制读地址
always @(posedge vga_clk or negedge sys_rst_n) begin         
    if (!sys_rst_n) begin
        rom_addr   <= 14'd0;
    end
    else if(rom_rd_en) begin
        if(rom_addr < TOTAL - 1'b1)
            rom_addr <= rom_addr + 1'b1;    //每次读ROM操作后,读地址加1
        else
            rom_addr <= 1'b0;               //读到ROM末地址后,从首地址重新开始读操作
    end
    else
        rom_addr <= rom_addr;
end

//从发出读使能到ROM输出有效数据存在一个时钟周期的延时
always @(posedge vga_clk or negedge sys_rst_n) begin         
    if (!sys_rst_n) 
        rom_valid <= 1'b0;
    else
        rom_valid <= rom_rd_en;
end

//通过调用IP核来例化ROM
lzj_rom	lzj_rom_inst(
	.clock   (vga_clk),
	.address (rom_addr),
	.rden    (rom_rd_en),
	.q       (rom_data)
	);

endmodule 


3输出RGB565图像数据和进行行列扫描

module vga_driver(
    input           vga_clk,      //VGA驱动时钟
    input           sys_rst_n,    //复位信号
    //VGA接口                          
    output          vga_hs,       //行同步信号
    output          vga_vs,       //场同步信号
    output  [15:0]  vga_rgb,      //红绿蓝三原色输出   
    input   [15:0]  pixel_data,   //像素点数据
    output  [ 9:0]  pixel_xpos,   //像素点横坐标
    output  [ 9:0]  pixel_ypos    //像素点纵坐标    
    );                             
                                                        
//parameter define  
parameter  H_SYNC   =  10'd96;    //行同步
parameter  H_BACK   =  10'd48;    //行显示后沿
parameter  H_DISP   =  10'd640;   //行有效数据
parameter  H_FRONT  =  10'd16;    //行显示前沿
parameter  H_TOTAL  =  10'd800;   //行扫描周期

parameter  V_SYNC   =  10'd2;     //场同步
parameter  V_BACK   =  10'd33;    //场显示后沿
parameter  V_DISP   =  10'd480;   //场有效数据
parameter  V_FRONT  =  10'd10;    //场显示前沿
parameter  V_TOTAL  =  10'd525;   //场扫描周期
          
//reg define                                     
reg  [9:0] cnt_h;
reg  [9:0] cnt_v;

//wire define
wire       vga_en;
wire       data_req; 

//*****************************************************
//**                    main code
//*****************************************************
//VGA行场同步信号
assign vga_hs  = (cnt_h <= H_SYNC - 1'b1) ? 1'b0 : 1'b1;
assign vga_vs  = (cnt_v <= V_SYNC - 1'b1) ? 1'b0 : 1'b1;

//使能RGB565数据输出
assign vga_en  = (((cnt_h >= H_SYNC+H_BACK) && (cnt_h < H_SYNC+H_BACK+H_DISP))
                 &&((cnt_v >= V_SYNC+V_BACK) && (cnt_v < V_SYNC+V_BACK+V_DISP)))
                 ?  1'b1 : 1'b0;
                 
//RGB565数据输出                 
assign vga_rgb = vga_en ? pixel_data : 16'd0;

//请求像素点颜色数据输入                
assign data_req = (((cnt_h >= H_SYNC+H_BACK-1'b1) && (cnt_h < H_SYNC+H_BACK+H_DISP-1'b1))
                  && ((cnt_v >= V_SYNC+V_BACK) && (cnt_v < V_SYNC+V_BACK+V_DISP)))
                  ?  1'b1 : 1'b0;

//像素点坐标                
assign pixel_xpos = data_req ? (cnt_h - (H_SYNC + H_BACK - 1'b1)) : 10'd0;
assign pixel_ypos = data_req ? (cnt_v - (V_SYNC + V_BACK - 1'b1)) : 10'd0;

//行计数器对像素时钟计数
always @(posedge vga_clk or negedge sys_rst_n) begin         
    if (!sys_rst_n)
        cnt_h <= 10'd0;                                  
    else begin
        if(cnt_h < H_TOTAL - 1'b1)                                               
            cnt_h <= cnt_h + 1'b1;                               
        else 
            cnt_h <= 10'd0;  
    end
end

//场计数器对行计数
always @(posedge vga_clk or negedge sys_rst_n) begin         
    if (!sys_rst_n)
        cnt_v <= 10'd0;                                  
    else if(cnt_h == H_TOTAL - 1'b1) begin
        if(cnt_v < V_TOTAL - 1'b1)                                               
            cnt_v <= cnt_v + 1'b1;                               
        else 
            cnt_v <= 10'd0;  
    end
end

endmodule 

rom程序生成与第一步一样,图片只能是100*100

生成的rom.mif文件

-- Copyright (C) 2015-Endless, CrazyBird Corporation
-- Thank you for use CrazyBird's design tools

DEPTH = 10000;
WIDTH = 16;
ADDRESS_RADIX = UNS;
DATA_RADIX = HEX;

CONTENT BEGIN

0 : ffff;
1 : ffff;
2 : ffff;
3 : ffff;
4 : ffff;
5 : ffff;
6 : ffff;
7 : ffff;
8 : ffff;
9 : ffff;
10 : ffff;
11 : ffff;
12 : ffff;
13 : ffff;
14 : ffff;
15 : ffff;
16 : ffff;
17 : ffff;
18 : ffff;
19 : ffff;
20 : ffff;
21 : ffff;
22 : ffff;
23 : ffff;
24 : ffff;
25 : ffff;
26 : ffff;
27 : ffff;
28 : ffff;
29 : ffff;
30 : ffff;
31 : ffff;
32 : ffff;
33 : ffff;
34 : ffff;
35 : ffff;
36 : ffff;
37 : ffff;
38 : ffff;
39 : ffff;
40 : ffff;
41 : ffff;
42 : ffff;
43 : ffff;
44 : ffff;
45 : ffff;
46 : ffff;
47 : ffff;
48 : ffff;
49 : ffff;
50 : ffff;
51 : ffff;
52 : ffff;
53 : ffff;
54 : ffff;
55 : ffff;
56 : ffff;
57 : ffff;
58 : ffff;
59 : ffff;
60 : ffff;
61 : ffff;
62 : ffff;
63 : ffff;
64 : ffff;
65 : ffff;
66 : ffff;
67 : ffff;
68 : ffff;
69 : ffff;
70 : ffff;
71 : ffff;
72 : ffff;
73 : ffff;
74 : ffff;
75 : ffff;
76 : ffff;
77 : ffff;
78 : ffff;
79 : ffff;
80 : ffff;
81 : ffff;
82 : ffff;
83 : ffff;
84 : ffff;
85 : ffff;
86 : ffff;
87 : ffff;
88 : ffff;
89 : ffff;
90 : ffff;
91 : ffff;
92 : ffff;
93 : ffff;
94 : ffff;
95 : ffff;
96 : ffff;
97 : ffff;
98 : ffff;
99 : ffff;
100 : ffff;
101 : ffff;
102 : ffff;
103 : ffff;
104 : ffff;
105 : ffff;
106 : ffff;
107 : ffff;
108 : ffff;
109 : ffff;
110 : ffff;
111 : ffff;
112 : ffff;
113 : ffff;
114 : ffff;
115 : ffff;
116 : ffff;
117 : ffff;
118 : ffff;
119 : ffff;
120 : ffff;
121 : ffff;
122 : ffff;
123 : ffff;
124 : ffff;
125 : ffff;
126 : ffff;
127 : ffff;
128 : ffff;
129 : ffff;
130 : ffff;
131 : ffff;
132 : ffff;
133 : ffff;
134 : ffff;
135 : ffff;
136 : ffff;
137 : ffff;
138 : ffff;
139 : ffff;
140 : ffff;
141 : ffff;
142 : ffff;
143 : ffff;
144 : ffff;
145 : ffff;
146 : ffff;
147 : ffff;
148 : ffff;
149 : ffff;
150 : ffff;
151 : ffff;
152 : ffff;
153 : ffff;
154 : ffff;
155 : ffff;
156 : ffff;
157 : ffff;
158 : ffff;
159 : ffff;
160 : ffff;
161 : ffff;
162 : ffff;
163 : ffff;
164 : ffff;
165 : ffff;
166 : ffff;
167 : ffff;
168 : ffff;
169 : ffff;
170 : ffff;
171 : ffff;
172 : ffff;
173 : ffff;
174 : ffff;
175 : ffff;
176 : ffff;
177 : ffff;
178 : ffff;
179 : ffff;
180 : ffff;
181 : ffff;
182 : ffff;
183 : ffff;
184 : ffff;
185 : ffff;
186 : ffff;
187 : ffff;
188 : ffff;
189 : ffff;
190 : ffff;
191 : ffff;
192 : ffff;
193 : ffff;
194 : ffff;
195 : ffff;
196 : ffff;
197 : ffff;
198 : ffff;
199 : ffff;
200 : ffff;
201 : ffff;
202 : ffff;
203 : ffff;
204 : ffff;
205 : ffff;
206 : ffff;
207 : ffff;
208 : ffff;
209 : ffff;
210 : ffff;
211 : ffff;
212 : ffff;
213 : ffff;
214 : ffff;
215 : ffff;
216 : ffff;
217 : ffff;
218 : ffff;
219 : ffff;
220 : ffff;
221 : ffff;
222 : ffff;
223 : ffff;
224 : ffff;
225 : ffff;
226 : ffff;
227 : ffff;
228 : ffff;
229 : ffff;
230 : ffff;
231 : ffff;
232 : ffff;
233 : ffff;
234 : ffff;
235 : ffff;
236 : ffff;
237 : ffff;
238 : ffff;
239 : ffff;
240 : ffff;
241 : ffff;
242 : ffff;
243 : ffff;
244 : ffff;
245 : ffff;
246 : ffff;
247 : ffff;
248 : ffff;
249 : ffff;
250 : ffff;
251 : ffff;
252 : ffff;
253 : ffff;
254 : ffff;
255 : ffff;
256 : ffff;
257 : ffff;
258 : ffff;
259 : ffff;
260 : ffff;
261 : ffff;
262 : ffff;
263 : ffff;
264 : ffff;
265 : ffff;
266 : ffff;
267 : ffff;
268 : ffff;
269 : ffff;
270 : ffff;
271 : ffff;
272 : ffff;
273 : ffff;
274 : ffff;
275 : ffff;
276 : ffff;
277 : ffff;
278 : ffff;
279 : ffff;
280 : ffff;
281 : ffff;
282 : ffff;
283 : ffff;
284 : ffff;
285 : ffff;
286 : ffff;
287 : ffff;
288 : ffff;
289 : ffff;
290 : ffff;
291 : ffff;
292 : ffff;
293 : ffff;
294 : ffff;
295 : ffff;
296 : ffff;
297 : ffff;
298 : ffff;
299 : ffff;
300 : ffff;
301 : ffff;
302 : ffff;
303 : ffff;
304 : ffff;
305 : ffff;
306 : ffff;
307 : ffff;
308 : ffff;
309 : ffff;
310 : ffff;
311 : ffff;
312 : ffff;
313 : ffff;
314 : ffff;
315 : ffff;
316 : ffff;
317 : ffff;
318 : ffff;
319 : ffff;
320 : ffff;
321 : ffff;
322 : ffff;
323 : ffff;
324 : ffff;
325 : ffff;
326 : ffff;
327 : ffff;
328 : ffff;
329 : ffff;
330 : ffff;
331 : ffff;
332 : ffff;
333 : ffff;
334 : ffff;
335 : ffff;
336 : ffff;
337 : ffff;
338 : ffff;
339 : ffff;
340 : ffff;
341 : ffff;
342 : ffff;
343 : ffff;
344 : ffff;
345 : ffff;
346 : ffff;
347 : ffff;
348 : ffff;
349 : ffff;
350 : ffff;
351 : ffff;
352 : ffff;
353 : ffff;
354 : ffff;
355 : ffff;
356 : ffff;
357 : ffff;
358 : ffff;
359 : ffff;
360 : ffff;
361 : ffff;
362 : ffff;
363 : ffff;
364 : ffff;
365 : ffff;
366 : ffff;
367 : ffff;
368 : ffff;
369 : ffff;
370 : ffff;
371 : ffff;
372 : ffff;
373 : ffff;
374 : ffff;
375 : ffff;
376 : ffff;
377 : ffff;
378 : ffff;
379 : ffff;
380 : ffff;
381 : ffff;
382 : ffff;
383 : ffff;
384 : ffff;
385 : ffff;
386 : ffff;
387 : ffff;
388 : ffff;
389 : ffff;
390 : ffff;
391 : ffff;
392 : ffff;
393 : ffff;
394 : ffff;
395 : ffff;
396 : ffff;
397 : ffff;
398 : ffff;
399 : ffff;
400 : ffff;
401 : ffff;
402 : ffff;
403 : ffff;
404 : ffff;
405 : ffff;
406 : ffff;
407 : ffff;
408 : ffff;
409 : ffff;
410 : ffff;
411 : ffff;
412 : ffff;
413 : ffff;
414 : ffff;
415 : ffff;
416 : ffff;
417 : ffff;
418 : ffff;
419 : ffff;
420 : ffff;
421 : ffff;
422 : ffff;
423 : ffff;
424 : ffff;
425 : ffff;
426 : ffff;
427 : ffff;
428 : ffff;
429 : ffff;
430 : ffff;
431 : ffff;
432 : ffff;
433 : ffff;
434 : ffff;
435 : ffff;
436 : ffff;
437 : ffff;
438 : ffff;
439 : ffff;
440 : ffff;
441 : ffff;
442 : ffff;
443 : ffff;
444 : ffff;
445 : ffff;
446 : ffff;
447 : ffff;
448 : ffff;
449 : ffff;
450 : ffff;
451 : ffff;
452 : ffff;
453 : ffff;
454 : ffff;
455 : ffff;
456 : ffff;
457 : ffff;
458 : ffff;
459 : ffff;
460 : ffff;
461 : ffff;
462 : ffff;
463 : ffff;
464 : ffff;
465 : ffff;
466 : ffff;
467 : ffff;
468 : ffff;
469 : ffff;
470 : ffff;
471 : ffff;
472 : ffff;
473 : ffff;
474 : ffff;
475 : ffff;
476 : ffff;
477 : ffff;
478 : ffff;
479 : ffff;
480 : ffff;
481 : ffff;
482 : ffff;
483 : ffff;
484 : ffff;
485 : ffff;
486 : ffff;
487 : ffff;
488 : ffff;
489 : ffff;
490 : ffff;
491 : ffff;
492 : ffff;
493 : ffff;
494 : ffff;
495 : ffff;
496 : ffff;
497 : ffff;
498 : ffff;
499 : ffff;
500 : ffff;
501 : ffff;
502 : ffff;
503 : ffff;
504 : ffff;
505 : ffff;
506 : ffff;
507 : ffff;
508 : ffff;
509 : ffff;
510 : ffff;
511 : ffff;
512 : ffff;
513 : ffff;
514 : ffff;
515 : ffff;
516 : ffff;
517 : ffff;
518 : ffff;
519 : ffff;
520 : ffff;
521 : ffff;
522 : ffff;
523 : ffff;
524 : ffff;
525 : ffff;
526 : ffff;
527 : ffff;
528 : ffff;
529 : ffff;
530 : ffff;
531 : ffff;
532 : ffff;
533 : ffff;
534 : ffff;
535 : ffff;
536 : ffff;
537 : ffff;
538 : ffff;
539 : ffff;
540 : ffff;
541 : ffff;
542 : ffff;
543 : ffff;
544 : ffff;
545 : ffff;
546 : ffff;
547 : ffff;
548 : ffff;
549 : ffff;
550 : ffff;
551 : ffff;
552 : ffff;
553 : ffff;
554 : ffff;
555 : ffff;
556 : ffff;
557 : ffff;
558 : ffff;
559 : ffff;
560 : ffff;
561 : ffff;
562 : ffff;
563 : ffff;
564 : ffff;
565 : ffff;
566 : ffff;
567 : ffff;
568 : ffff;
569 : ffff;
570 : ffff;
571 : ffff;
572 : ffff;
573 : ffff;
574 : ffff;
575 : ffff;
576 : ffff;
577 : ffff;
578 : ffff;
579 : ffff;
580 : ffff;
581 : ffff;
582 : ffff;
583 : ffff;
584 : ffff;
585 : ffff;
586 : ffff;
587 : ffff;
588 : ffff;
589 : ffff;
590 : ffff;
591 : ffff;
592 : ffff;
593 : ffff;
594 : ffff;
595 : ffff;
596 : ffff;
597 : ffff;
598 : ffff;
599 : ffff;
600 : ffff;
601 : ffff;
602 : ffff;
603 : ffff;
604 : ffff;
605 : ffff;
606 : ffff;
607 : ffff;
608 : ffff;
609 : ffff;
610 : ffff;
611 : ffff;
612 : ffff;
613 : ffff;
614 : ffff;
615 : ffff;
616 : ffff;
617 : ffff;
618 : ffff;
619 : ffff;
620 : ffff;
621 : ffff;
622 : ffff;
623 : ffff;
624 : ffff;
625 : ffff;
626 : ffff;
627 : ffff;
628 : ffff;
629 : ffff;
630 : ffff;
631 : ffff;
632 : ffff;
633 : ffff;
634 : ffff;
635 : ffff;
636 : ffff;
637 : ffff;
638 : ffff;
639 : ffff;
640 : ffff;
641 : ffff;
642 : ffff;
643 : ffff;
644 : ffff;
645 : ffff;
646 : ffff;
647 : ffff;
648 : ffff;
649 : ffff;
650 : ffff;
651 : ffff;
652 : ffff;
653 : ffff;
654 : ffff;
655 : ffff;
656 : ffff;
657 : ffff;
658 : ffff;
659 : ffff;
660 : ffff;
661 : ffff;
662 : ffff;
663 : ffff;
664 : ffff;
665 : ffff;
666 : ffff;
667 : ffff;
668 : ffff;
669 : ffff;
670 : ffff;
671 : ffff;
672 : ffff;
673 : ffff;
674 : ffff;
675 : ffff;
676 : ffff;
677 : ffff;
678 : ffff;
679 : ffff;
680 : ffff;
681 : ffff;
682 : ffff;
683 : ffff;
684 : ffff;
685 : ffff;
686 : ffff;
687 : ffff;
688 : ffff;
689 : ffff;
690 : ffff;
691 : ffff;
692 : ffff;
693 : ffff;
694 : ffff;
695 : ffff;
696 : ffff;
697 : ffff;
698 : ffff;
699 : ffff;
700 : ffff;
701 : ffff;
702 : ffff;
703 : ffff;
704 : ffff;
705 : ffff;
706 : ffff;
707 : ffff;
708 : ffff;
709 : ffff;
710 : ffff;
711 : ffff;
712 : ffff;
713 : ffff;
714 : ffff;
715 : ffff;
716 : ffff;
717 : ffff;
718 : ffff;
719 : ffff;
720 : ffff;
721 : ffff;
722 : ffff;
723 : ffff;
724 : ffff;
725 : ffff;
726 : ffff;
727 : ffff;
728 : ffff;
729 : ffff;
730 : ffff;
731 : ffff;
732 : ffff;
733 : ffff;
734 : ffff;
735 : ffff;
736 : ffff;
737 : ffff;
738 : ffff;
739 : ffff;
740 : ffff;
741 : ffff;
742 : ffff;
743 : ffff;
744 : ffff;
745 : ffff;
746 : ffff;
747 : ffff;
748 : ffff;
749 : ffff;
750 : ffff;
751 : ffff;
752 : ffff;
753 : ffff;
754 : ffff;
755 : ffff;
756 : ffff;
757 : ffff;
758 : ffff;
759 : ffff;
760 : ffff;
761 : ffff;
762 : ffff;
763 : ffff;
764 : ffff;
765 : ffff;
766 : ffff;
767 : ffff;
768 : ffff;
769 : ffff;
770 : ffff;
771 : ffff;
772 : ffff;
773 : ffff;
774 : ffff;
775 : ffff;
776 : ffff;
777 : ffff;
778 : ffff;
779 : ffff;
780 : ffff;
781 : ffff;
782 : ffff;
783 : ffff;
784 : ffff;
785 : ffff;
786 : ffff;
787 : ffff;
788 : ffff;
789 : ffff;
790 : ffff;
791 : ffff;
792 : ffff;
793 : ffff;
794 : ffff;
795 : ffff;
796 : ffff;
797 : ffff;
798 : ffff;
799 : ffff;
800 : ffff;
801 : ffff;
802 : ffff;
803 : ffff;
804 : ffff;
805 : ffff;
806 : ffff;
807 : ffff;
808 : ffff;
809 : ffff;
810 : ffff;
811 : ffff;
812 : ffff;
813 : ffff;
814 : ffff;
815 : ffff;
816 : ffff;
817 : ffff;
818 : ffff;
819 : ffff;
820 : ffff;
821 : ffff;
822 : ffff;
823 : ffff;
824 : ffff;
825 : ffff;
826 : ffff;
827 : ffff;
828 : ffff;
829 : ffff;
830 : ffff;
831 : ffff;
832 : ffff;
833 : ffff;
834 : ffff;
835 : ffff;
836 : ffff;
837 : ffff;
838 : ffff;
839 : ffff;
840 : ffff;
841 : ffff;
842 : ffff;
843 : ffff;
844 : ffff;
845 : ffff;
846 : ffff;
847 : ffff;
848 : ffff;
849 : ffff;
850 : ffff;
851 : ffff;
852 : ffff;
853 : ffff;
854 : ffff;
855 : ffff;
856 : ffff;
857 : ffff;
858 : ffff;
859 : ffff;
860 : ffff;
861 : ffff;
862 : ffff;
863 : ffff;
864 : ffff;
865 : ffff;
866 : ffff;
867 : ffff;
868 : ffff;
869 : ffff;
870 : ffff;
871 : ffff;
872 : ffff;
873 : ffff;
874 : ffff;
875 : ffff;
876 : ffff;
877 : ffff;
878 : ffff;
879 : ffff;
880 : ffff;
881 : ffff;
882 : ffff;
883 : ffff;
884 : ffff;
885 : ffff;
886 : ffff;
887 : ffff;
888 : ffff;
889 : ffff;
890 : ffff;
891 : ffff;
892 : ffff;
893 : ffff;
894 : ffff;
895 : ffff;
896 : ffff;
897 : ffff;
898 : ffff;
899 : ffff;
900 : ffff;
901 : ffff;
902 : ffff;
903 : ffff;
904 : ffff;
905 : ffff;
906 : ffff;
907 : ffff;
908 : ffff;
909 : ffff;
910 : ffff;
911 : ffff;
912 : ffff;
913 : ffff;
914 : ffff;
915 : ffff;
916 : ffff;
917 : ffff;
918 : ffff;
919 : ffff;
920 : ffff;
921 : ffff;
922 : ffff;
923 : ffff;
924 : ffff;
925 : ffff;
926 : ffff;
927 : ffff;
928 : ffff;
929 : ffff;
930 : ffff;
931 : ffff;
932 : ffff;
933 : ffff;
934 : ffff;
935 : ffff;
936 : ffff;
937 : ffff;
938 : ffff;
939 : ffff;
940 : ffff;
941 : ffff;
942 : ffff;
943 : ffff;
944 : ffff;
945 : ffff;
946 : ffff;
947 : ffff;
948 : ffff;
949 : ffff;
950 : ffff;
951 : ffff;
952 : ffff;
953 : ffff;
954 : ffff;
955 : ffff;
956 : ffff;
957 : ffff;
958 : ffff;
959 : ffff;
960 : ffff;
961 : ffff;
962 : ffff;
963 : ffff;
964 : ffff;
965 : ffff;
966 : ffff;
967 : ffff;
968 : ffff;
969 : ffff;
970 : ffff;
971 : ffff;
972 : ffff;
973 : ffff;
974 : ffff;
975 : ffff;
976 : ffff;
977 : ffff;
978 : ffff;
979 : ffff;
980 : ffff;
981 : ffff;
982 : ffff;
983 : ffff;
984 : ffff;
985 : ffff;
986 : ffff;
987 : ffff;
988 : ffff;
989 : ffff;
990 : ffff;
991 : ffff;
992 : ffff;
993 : ffff;
994 : ffff;
995 : ffff;
996 : ffff;
997 : ffff;
998 : ffff;
999 : ffff;
1000 : ffff;
1001 : ffff;
1002 : ffff;
1003 : ffff;
1004 : ffff;
1005 : ffff;
1006 : ffff;
1007 : ffff;
1008 : ffff;
1009 : ffff;
1010 : ffff;
1011 : ffff;
1012 : ffff;
1013 : ffff;
1014 : ffff;
1015 : ffff;
1016 : ffff;
1017 : ffff;
1018 : ffff;
1019 : ffff;
1020 : ffff;
1021 : ffff;
1022 : ffff;
1023 : ffff;
1024 : ffff;
1025 : ffff;
1026 : ffff;
1027 : ffff;
1028 : ffff;
1029 : ffff;
1030 : ffff;
1031 : ffff;
1032 : ffff;
1033 : ffff;
1034 : ffff;
1035 : ffff;
1036 : ffff;
1037 : ffff;
1038 : ffff;
1039 : ffff;
1040 : ffff;
1041 : ffff;
1042 : ffff;
1043 : ffff;
1044 : ffff;
1045 : ffff;
1046 : ffff;
1047 : ffff;
1048 : ffff;
1049 : ffff;
1050 : ffff;
1051 : ffff;
1052 : ffff;
1053 : ffff;
1054 : ffff;
1055 : ffff;
1056 : ffff;
1057 : ffff;
1058 : ffff;
1059 : ffff;
1060 : ffff;
1061 : ffff;
1062 : ffff;
1063 : ffdf;
1064 : ffff;
1065 : ffff;
1066 : ffff;
1067 : ffff;
1068 : ffff;
1069 : ffff;
1070 : ffff;
1071 : ffff;
1072 : ffff;
1073 : ffff;
1074 : ffff;
1075 : ffff;
1076 : ffff;
1077 : ffff;
1078 : ffff;
1079 : ffff;
1080 : ffff;
1081 : ffff;
1082 : ffff;
1083 : ffff;
1084 : ffff;
1085 : ffff;
1086 : ffff;
1087 : ffff;
1088 : ffff;
1089 : ffff;
1090 : ffff;
1091 : ffff;
1092 : ffff;
1093 : ffff;
1094 : ffff;
1095 : ffff;
1096 : ffff;
1097 : ffff;
1098 : ffff;
1099 : ffff;
1100 : ffff;
1101 : ffff;
1102 : ffff;
1103 : ffff;
1104 : ffff;
1105 : ffff;
1106 : ffff;
1107 : ffff;
1108 : ffff;
1109 : ffff;
1110 : ffff;
1111 : ffff;
1112 : ffff;
1113 : ffff;
1114 : ffff;
1115 : ffff;
1116 : ffff;
1117 : ffff;
1118 : ffff;
1119 : ffff;
1120 : ffff;
1121 : ffff;
1122 : ffff;
1123 : ffff;
1124 : ffff;
1125 : ffff;
1126 : ffff;
1127 : ffff;
1128 : ffff;
1129 : ffff;
1130 : ffff;
1131 : ffff;
1132 : ffff;
1133 : ffff;
1134 : ffff;
1135 : ffff;
1136 : ffff;
1137 : ffff;
1138 : ffff;
1139 : ffff;
1140 : ffff;
1141 : ffff;
1142 : ffff;
1143 : ffff;
1144 : ffff;
1145 : ffff;
1146 : ffff;
1147 : ffff;
1148 : ffff;
1149 : ffff;
1150 : ffff;
1151 : ffff;
1152 : ffff;
1153 : ffff;
1154 : ffff;
1155 : ffff;
1156 : ffff;
1157 : ffdf;
1158 : ffff;
1159 : f79e;
1160 : c618;
1161 : 8410;
1162 : 5aeb;
1163 : 52aa;
1164 : 738e;
1165 : b5b6;
1166 : f79e;
1167 : ffdf;
1168 : ffdf;
1169 : ffff;
1170 : ffff;
1171 : ffff;
1172 : ffff;
1173 : ffff;
1174 : f7be;
1175 : f79d;
1176 : e71c;
1177 : defb;
1178 : d6ba;
1179 : ce79;
1180 : ce59;
1181 : ce58;
1182 : ce59;
1183 : d6ba;
1184 : e71b;
1185 : ef5d;
1186 : f7be;
1187 : ffff;
1188 : ffff;
1189 : ffff;
1190 : ffff;
1191 : ffff;
1192 : ffff;
1193 : ffff;
1194 : ffff;
1195 : ffdf;
1196 : ffff;
1197 : ffff;
1198 : ffff;
1199 : ffff;
1200 : 8c51;
1201 : c618;
1202 : f79e;
1203 : ffff;
1204 : ffff;
1205 : ffff;
1206 : ffff;
1207 : ffff;
1208 : ffff;
1209 : ffff;
1210 : ffff;
1211 : ffff;
1212 : ffff;
1213 : ffff;
1214 : ffff;
1215 : ffff;
1216 : ffff;
1217 : ffff;
1218 : ffff;
1219 : ffff;
1220 : ffff;
1221 : ffff;
1222 : ffff;
1223 : ffff;
1224 : ffff;
1225 : ffff;
1226 : ffff;
1227 : ffff;
1228 : ffff;
1229 : ffff;
1230 : ffff;
1231 : ffff;
1232 : ffff;
1233 : ffff;
1234 : ffff;
1235 : ffff;
1236 : ffff;
1237 : ffff;
1238 : ffff;
1239 : ffff;
1240 : ffff;
1241 : ffff;
1242 : ffff;
1243 : ffff;
1244 : ffff;
1245 : ffff;
1246 : ffff;
1247 : ffff;
1248 : ffff;
1249 : ffff;
1250 : ffff;
1251 : ffff;
1252 : ffff;
1253 : ffff;
1254 : ffff;
1255 : ffff;
1256 : ffdf;
1257 : ffdf;
1258 : b596;
1259 : 4a49;
1260 : 0861;
1261 : 0000;
1262 : 0000;
1263 : 0000;
1264 : 0000;
1265 : 0020;
1266 : 630c;
1267 : defb;
1268 : ffff;
1269 : ffff;
1270 : ffff;
1271 : ef5d;
1272 : d69a;
1273 : c618;
1274 : b596;
1275 : ad75;
1276 : ad75;
1277 : ad95;
1278 : b595;
1279 : b5b6;
1280 : b5b6;
1281 : b595;
1282 : ad74;
1283 : a534;
1284 : 9cd2;
1285 : 94b2;
1286 : 94b2;
1287 : a534;
1288 : be17;
1289 : e73c;
1290 : ffff;
1291 : ffff;
1292 : ffff;
1293 : ffff;
1294 : ffff;
1295 : ffff;
1296 : ffdf;
1297 : f79e;
1298 : f79e;
1299 : ffdf;
1300 : 0000;
1301 : 1082;
1302 : 528a;
1303 : c638;
1304 : ffdf;
1305 : ffdf;
1306 : ffff;
1307 : ffff;
1308 : ffff;
1309 : ffff;
1310 : ffff;
1311 : ffff;
1312 : ffff;
1313 : ffff;
1314 : ffff;
1315 : ffff;
1316 : ffff;
1317 : ffff;
1318 : ffff;
1319 : ffff;
1320 : ffff;
1321 : ffff;
1322 : ffff;
1323 : ffff;
1324 : ffff;
1325 : ffff;
1326 : ffff;
1327 : ffff;
1328 : ffff;
1329 : ffff;
1330 : ffff;
1331 : ffff;
1332 : ffff;
1333 : ffff;
1334 : ffff;
1335 : ffff;
1336 : ffff;
1337 : ffff;
1338 : ffff;
1339 : ffff;
1340 : ffff;
1341 : ffff;
1342 : ffff;
1343 : ffff;
1344 : ffff;
1345 : ffff;
1346 : ffff;
1347 : ffff;
1348 : ffff;
1349 : ffff;
1350 : ffff;
1351 : ffff;
1352 : ffff;
1353 : ffff;
1354 : ffff;
1355 : ffff;
1356 : ffff;
1357 : 9cf3;
1358 : 0861;
1359 : 0000;
1360 : 0000;
1361 : 0000;
1362 : 0000;
1363 : 0000;
1364 : 0000;
1365 : 0000;
1366 : 0000;
1367 : 4a49;
1368 : c617;
1369 : bdf7;
1370 : a534;
1371 : ad55;
1372 : b5b6;
1373 : d699;
1374 : e71b;
1375 : f7bd;
1376 : fffe;
1377 : ffff;
1378 : ffff;
1379 : ffff;
1380 : ffff;
1381 : ffff;
1382 : ffff;
1383 : ffff;
1384 : ffde;
1385 : ef7d;
1386 : dedb;
1387 : bdf7;
1388 : 9cd3;
1389 : 8c71;
1390 : 9cf3;
1391 : ce79;
1392 : ffdf;
1393 : ef7d;
1394 : b5b6;
1395 : 8430;
1396 : 5aeb;
1397 : 4a69;
1398 : 4a49;
1399 : 5aeb;
1400 : 0000;
1401 : 0000;
1402 : 0000;
1403 : 2945;
1404 : d69a;
1405 : ffff;
1406 : ffff;
1407 : ffff;
1408 : ffff;
1409 : ffff;
1410 : ffff;
1411 : ffff;
1412 : ffff;
1413 : ffff;
1414 : ffff;
1415 : ffff;
1416 : ffff;
1417 : ffff;
1418 : ffff;
1419 : ffff;
1420 : ffff;
1421 : ffff;
1422 : ffff;
1423 : ffff;
1424 : ffff;
1425 : ffff;
1426 : ffff;
1427 : ffff;
1428 : ffff;
1429 : ffff;
1430 : ffff;
1431 : ffff;
1432 : ffff;
1433 : ffff;
1434 : ffff;
1435 : ffff;
1436 : ffff;
1437 : ffff;
1438 : ffff;
1439 : ffff;
1440 : ffff;
1441 : ffff;
1442 : ffff;
1443 : ffff;
1444 : ffff;
1445 : ffff;
1446 : ffff;
1447 : ffff;
1448 : ffdf;
1449 : d69a;
1450 : b5b6;
1451 : ef7d;
1452 : ffff;
1453 : ffdf;
1454 : ffff;
1455 : ffff;
1456 : ce59;
1457 : 10a2;
1458 : 0000;
1459 : 0020;
1460 : 0000;
1461 : 0000;
1462 : 0000;
1463 : 0000;
1464 : 0000;
1465 : 0000;
1466 : 0000;
1467 : 0000;
1468 : 0861;
1469 : 634c;
1470 : ce58;
1471 : f7be;
1472 : ffbc;
1473 : c532;
1474 : a3ea;
1475 : b4cf;
1476 : f77c;
1477 : ffff;
1478 : ffff;
1479 : ffff;
1480 : ffff;
1481 : ffff;
1482 : ffff;
1483 : ffff;
1484 : ffff;
1485 : ffff;
1486 : ffff;
1487 : ffff;
1488 : ffff;
1489 : f79d;
1490 : c617;
1491 : 8430;
1492 : 73ae;
1493 : 3a07;
1494 : 0841;
1495 : 0000;
1496 : 0000;
1497 : 0000;
1498 : 0000;
1499 : 0000;
1500 : 0000;
1501 : 0000;
1502 : 0020;
1503 : 0000;
1504 : 5aeb;
1505 : ffdf;
1506 : ffff;
1507 : ffff;
1508 : ffff;
1509 : ffff;
1510 : ffff;
1511 : ffff;
1512 : ffff;
1513 : ffff;
1514 : ffff;
1515 : ffff;
1516 : ffff;
1517 : ffff;
1518 : ffff;
1519 : ffff;
1520 : ffff;
1521 : ffff;
1522 : ffff;
1523 : ffff;
1524 : ffff;
1525 : ffff;
1526 : ffff;
1527 : ffff;
1528 : ffff;
1529 : ffff;
1530 : ffff;
1531 : ffff;
1532 : ffff;
1533 : ffff;
1534 : ffff;
1535 : ffff;
1536 : ffff;
1537 : ffff;
1538 : ffff;
1539 : ffff;
1540 : ffff;
1541 : ffff;
1542 : ffff;
1543 : ffff;
1544 : ffff;
1545 : ffff;
1546 : ffff;
1547 : ef7d;
1548 : 8410;
1549 : 18c3;
1550 : 0841;
1551 : 630c;
1552 : ce59;
1553 : ffff;
1554 : ffdf;
1555 : ffdf;
1556 : 6b6d;
1557 : 0000;
1558 : 0000;
1559 : 0000;
1560 : 0000;
1561 : 0000;
1562 : 0000;
1563 : 0000;
1564 : 0000;
1565 : 0000;
1566 : 0000;
1567 : 0861;
1568 : 8c71;
1569 : ffde;
1570 : ffff;
1571 : ffff;
1572 : b4cf;
1573 : 7a23;
1574 : 8a82;
1575 : 71c0;
1576 : a389;
1577 : ee72;
1578 : ee50;
1579 : e610;
1580 : e630;
1581 : ee71;
1582 : eed4;
1583 : f738;
1584 : ff9b;
1585 : fffe;
1586 : f7bd;
1587 : c531;
1588 : abeb;
1589 : c5b3;
1590 : fffe;
1591 : ffdf;
1592 : 9cf3;
1593 : 10a2;
1594 : 0000;
1595 : 0020;
1596 : 0000;
1597 : 0000;
1598 : 0000;
1599 : 0000;
1600 : 0000;
1601 : 0000;
1602 : 0000;
1603 : 0000;
1604 : 1082;
1605 : c638;
1606 : ffff;
1607 : ffff;
1608 : ffff;
1609 : ffff;
1610 : ffff;
1611 : ffff;
1612 : ffff;
1613 : ffff;
1614 : ffff;
1615 : ffff;
1616 : ffff;
1617 : ffff;
1618 : ffff;
1619 : ffff;
1620 : ffff;
1621 : ffff;
1622 : ffff;
1623 : ffff;
1624 : ffff;
1625 : ffff;
1626 : ffff;
1627 : ffff;
1628 : ffff;
1629 : ffff;
1630 : ffff;
1631 : ffff;
1632 : ffff;
1633 : ffff;
1634 : ffff;
1635 : ffff;
1636 : ffff;
1637 : ffff;
1638 : ffff;
1639 : ffff;
1640 : ffff;
1641 : ffff;
1642 : ffff;
1643 : ffff;
1644 : ffff;
1645 : ffff;
1646 : ef5d;
1647 : 5aeb;
1648 : 0000;
1649 : 0000;
1650 : 0000;
1651 : 0000;
1652 : 31a6;
1653 : c618;
1654 : ef7d;
1655 : e73c;
1656 : 3186;
1657 : 0000;
1658 : 0000;
1659 : 0000;
1660 : 0000;
1661 : 0000;
1662 : 0000;
1663 : 0000;
1664 : 0000;
1665 : 0000;
1666 : 0861;
1667 : a513;
1668 : ffff;
1669 : ffff;
1670 : ffff;
1671 : ffdc;
1672 : abc8;
1673 : ab01;
1674 : cbc2;
1675 : bb62;
1676 : 8a20;
1677 : cc23;
1678 : dc82;
1679 : d482;
1680 : dc83;
1681 : d482;
1682 : d4a3;
1683 : cc84;
1684 : d4c6;
1685 : dd6c;
1686 : ac0a;
1687 : 8222;
1688 : 9aa4;
1689 : 7a43;
1690 : b510;
1691 : ffff;
1692 : ffff;
1693 : c638;
1694 : 18e3;
1695 : 0000;
1696 : 0020;
1697 : 0000;
1698 : 0000;
1699 : 0000;
1700 : 0000;
1701 : 0000;
1702 : 0000;
1703 : 0000;
1704 : 0000;
1705 : 9492;
1706 : ffff;
1707 : ffdf;
1708 : ffff;
1709 : ffff;
1710 : ffff;
1711 : ffff;
1712 : ffff;
1713 : ffff;
1714 : ffff;
1715 : ffff;
1716 : ffff;
1717 : ffff;
1718 : ffff;
1719 : ffff;
1720 : ffff;
1721 : ffff;
1722 : ffff;
1723 : ffff;
1724 : ffff;
1725 : ffff;
1726 : ffff;
1727 : ffff;
1728 : ffff;
1729 : ffff;
1730 : ffff;
1731 : ffff;
1732 : ffff;
1733 : ffff;
1734 : ffff;
1735 : ffff;
1736 : ffff;
1737 : ffff;
1738 : ffff;
1739 : ffff;
1740 : ffff;
1741 : ffff;
1742 : ffff;
1743 : ffff;
1744 : ffff;
1745 : f79e;
1746 : 6b4d;
1747 : 0000;
1748 : 0000;
1749 : 0000;
1750 : 0000;
1751 : 0000;
1752 : 0000;
1753 : 0861;
1754 : 9cf3;
1755 : defb;
1756 : 1082;
1757 : 0000;
1758 : 0000;
1759 : 0000;
1760 : 0000;
1761 : 0000;
1762 : 0000;
1763 : 0000;
1764 : 0000;
1765 : 0020;
1766 : 94b2;
1767 : ffff;
1768 : ffff;
1769 : ffff;
1770 : eed7;
1771 : cd4c;
1772 : d463;
1773 : d421;
1774 : e4e4;
1775 : e569;
1776 : e5ec;
1777 : f68e;
1778 : f6cf;
1779 : fecf;
1780 : fed0;
1781 : fecf;
1782 : fe8e;
1783 : ee0b;
1784 : e587;
1785 : c444;
1786 : 9260;
1787 : bb83;
1788 : bba3;
1789 : 9281;
1790 : 938a;
1791 : ffde;
1792 : f7df;
1793 : ffff;
1794 : b596;
1795 : 0881;
1796 : 0000;
1797 : 0000;
1798 : 0000;
1799 : 0000;
1800 : 0000;
1801 : 0000;
1802 : 0000;
1803 : 0000;
1804 : 0000;
1805 : 8410;
1806 : ffff;
1807 : ffdf;
1808 : ffff;
1809 : ffff;
1810 : ffff;
1811 : ffff;
1812 : ffff;
1813 : ffff;
1814 : ffff;
1815 : ffff;
1816 : ffff;
1817 : ffff;
1818 : ffff;
1819 : ffff;
1820 : ffff;
1821 : ffff;
1822 : ffff;
1823 : ffff;
1824 : ffff;
1825 : ffff;
1826 : ffff;
1827 : ffff;
1828 : ffff;
1829 : ffff;
1830 : ffff;
1831 : ffff;
1832 : ffff;
1833 : ffff;
1834 : ffff;
1835 : ffff;
1836 : ffff;
1837 : ffff;
1838 : ffff;
1839 : ffff;
1840 : ffff;
1841 : ffff;
1842 : ffff;
1843 : ffdf;
1844 : ffff;
1845 : 9cd3;
1846 : 0000;
1847 : 0000;
1848 : 0000;
1849 : 0000;
1850 : 0000;
1851 : 0000;
1852 : 0020;
1853 : 0000;
1854 : 630c;
1855 : d69a;
1856 : 0841;
1857 : 0000;
1858 : 0000;
1859 : 0000;
1860 : 0000;
1861 : 0000;
1862 : 0000;
1863 : 0000;
1864 : 0000;
1865 : 6b8e;
1866 : ffff;
1867 : ffde;
1868 : ffff;
1869 : e695;
1870 : c405;
1871 : c421;
1872 : dd05;
1873 : f60b;
1874 : fece;
1875 : ff31;
1876 : ff73;
1877 : ff53;
1878 : ff53;
1879 : ff53;
1880 : ff53;
1881 : ff53;
1882 : ff53;
1883 : ff34;
1884 : ff53;
1885 : fef3;
1886 : ee0e;
1887 : e547;
1888 : dc82;
1889 : bb80;
1890 : abe8;
1891 : ffbd;
1892 : f7ff;
1893 : ffde;
1894 : ffff;
1895 : 8410;
1896 : 0000;
1897 : 0000;
1898 : 0000;
1899 : 0000;
1900 : 0000;
1901 : 0000;
1902 : 0000;
1903 : 0000;
1904 : 0000;
1905 : 8430;
1906 : ffff;
1907 : ffff;
1908 : ffff;
1909 : ffff;
1910 : ffff;
1911 : ffff;
1912 : ffff;
1913 : ffff;
1914 : ffff;
1915 : ffff;
1916 : ffff;
1917 : ffff;
1918 : ffff;
1919 : ffff;
1920 : ffff;
1921 : ffff;
1922 : ffff;
1923 : ffff;
1924 : ffff;
1925 : ffff;
1926 : ffff;
1927 : ffff;
1928 : ffff;
1929 : ffff;
1930 : ffff;
1931 : ffff;
1932 : ffff;
1933 : ffff;
1934 : ffff;
1935 : ffff;
1936 : ffff;
1937 : ffff;
1938 : ffff;
1939 : ffff;
1940 : ffff;
1941 : ffff;
1942 : ffff;
1943 : ffff;
1944 : d6ba;
1945 : 2945;
1946 : 0000;
1947 : 0000;
1948 : 0000;
1949 : 0000;
1950 : 0000;
1951 : 0000;
1952 : 0000;
1953 : 0000;
1954 : 630c;
1955 : d69a;
1956 : 0861;
1957 : 0000;
1958 : 0000;
1959 : 0000;
1960 : 0000;
1961 : 0000;
1962 : 0000;
1963 : 0000;
1964 : 39a7;
1965 : ef5c;
1966 : ffff;
1967 : f7ff;
1968 : e633;
1969 : cc43;
1970 : d4a3;
1971 : f66a;
1972 : fed0;
1973 : fef1;
1974 : ff32;
1975 : ff73;
1976 : ff32;
1977 : ff12;
1978 : ff32;
1979 : ff32;
1980 : ff32;
1981 : ff32;
1982 : ff33;
1983 : ff33;
1984 : ff33;
1985 : ff52;
1986 : ff73;
1987 : ff30;
1988 : f62a;
1989 : e4e3;
1990 : cc42;
1991 : e5f0;
1992 : ffdd;
1993 : ffff;
1994 : ffff;
1995 : ef5d;
1996 : 2965;
1997 : 0000;
1998 : 0000;
1999 : 0000;
2000 : 0000;
2001 : 0000;
2002 : 0000;
2003 : 0000;
2004 : 0020;
2005 : ad55;
2006 : ffff;
2007 : ffff;
2008 : ffff;
2009 : ffff;
2010 : ffff;
2011 : ffff;
2012 : ffff;
2013 : ffff;
2014 : ffff;
2015 : ffff;
2016 : ffff;
2017 : ffff;
2018 : ffff;
2019 : ffff;
2020 : ffff;
2021 : ffff;
2022 : ffff;
2023 : ffff;
2024 : ffff;
2025 : ffff;
2026 : ffff;
2027 : ffff;
2028 : ffff;
2029 : ffff;
2030 : ffff;
2031 : ffff;
2032 : ffff;
2033 : ffff;
2034 : ffff;
2035 : ffff;
2036 : ffff;
2037 : ffff;
2038 : ffff;
2039 : ffff;
2040 : ffff;
2041 : ffff;
2042 : ffff;
2043 : ffff;
2044 : 8410;
2045 : 0000;
2046 : 0000;
2047 : 0000;
2048 : 0000;
2049 : 0000;
2050 : 0000;
2051 : 0000;
2052 : 0000;
2053 : 0000;
2054 : 8c51;
2055 : e73c;
2056 : 18c3;
2057 : 0000;
2058 : 0000;
2059 : 0000;
2060 : 0000;
2061 : 0000;
2062 : 0000;
2063 : 0020;
2064 : ad76;
2065 : ffff;
2066 : fffe;
2067 : e632;
2068 : cc02;
2069 : e505;
2070 : f66d;
2071 : cd0b;
2072 : 9b44;
2073 : 8a82;
2074 : 9b04;
2075 : cd0b;
2076 : ff12;
2077 : ff52;
2078 : ff32;
2079 : ff32;
2080 : ff32;
2081 : ff32;
2082 : ff32;
2083 : ff32;
2084 : ff31;
2085 : ff52;
2086 : ff72;
2087 : ff53;
2088 : ff73;
2089 : ff0f;
2090 : e566;
2091 : cc21;
2092 : e5ef;
2093 : ffff;
2094 : f7de;
2095 : ffff;
2096 : 9cf3;
2097 : 0000;
2098 : 0000;
2099 : 0000;
2100 : 0000;
2101 : 0000;
2102 : 0000;
2103 : 0000;
2104 : 31a6;
2105 : e73c;
2106 : ffff;
2107 : ffff;
2108 : ffff;
2109 : ffff;
2110 : ffff;
2111 : ffff;
2112 : ffff;
2113 : ffff;
2114 : ffff;
2115 : ffff;
2116 : ffff;
2117 : ffff;
2118 : ffff;
2119 : ffff;
2120 : ffff;
2121 : ffff;
2122 : ffff;
2123 : ffff;
2124 : ffff;
2125 : ffff;
2126 : ffff;
2127 : ffff;
2128 : ffff;
2129 : ffff;
2130 : ffff;
2131 : ffff;
2132 : ffff;
2133 : ffff;
2134 : ffff;
2135 : ffff;
2136 : ffff;
2137 : ffff;
2138 : ffff;
2139 : ffff;
2140 : ffff;
2141 : ffff;
2142 : ffff;
2143 : e73c;
2144 : 2945;
2145 : 0000;
2146 : 0000;
2147 : 0000;
2148 : 0000;
2149 : 0000;
2150 : 0000;
2151 : 0000;
2152 : 0000;
2153 : 2124;
2154 : b5b6;
2155 : ef5d;
2156 : 4a69;
2157 : 0000;
2158 : 0000;
2159 : 0000;
2160 : 0000;
2161 : 0000;
2162 : 0000;
2163 : 5acb;
2164 : ffff;
2165 : ffff;
2166 : e632;
2167 : d402;
2168 : e505;
2169 : fe8f;
2170 : ac27;
2171 : 71c0;
2172 : 9b03;
2173 : abe7;
2174 : 9ae4;
2175 : 71c0;
2176 : b428;
2177 : ff32;
2178 : ff32;
2179 : ff32;
2180 : ff32;
2181 : ff32;
2182 : ff32;
2183 : ff32;
2184 : ff32;
2185 : f6b0;
2186 : c4e9;
2187 : a3a5;
2188 : a3a6;
2189 : c4ea;
2190 : f68f;
2191 : e5a6;
2192 : cc62;
2193 : ee32;
2194 : fffe;
2195 : ffff;
2196 : ef7d;
2197 : 31a6;
2198 : 0000;
2199 : 0000;
2200 : 0000;
2201 : 0000;
2202 : 0000;
2203 : 0020;
2204 : ad55;
2205 : ffff;
2206 : ffff;
2207 : ffff;
2208 : ffff;
2209 : ffff;
2210 : ffff;
2211 : ffff;
2212 : ffff;
2213 : ffff;
2214 : ffff;
2215 : ffff;
2216 : ffff;
2217 : ffff;
2218 : ffff;
2219 : ffff;
2220 : ffff;
2221 : ffff;
2222 : ffff;
2223 : ffff;
2224 : ffff;
2225 : ffff;
2226 : ffff;
2227 : ffff;
2228 : ffff;
2229 : ffff;
2230 : ffff;
2231 : ffff;
2232 : ffff;
2233 : ffff;
2234 : ffff;
2235 : ffff;
2236 : ffff;
2237 : ffff;
2238 : ffff;
2239 : ffff;
2240 : ffff;
2241 : ffff;
2242 : ffff;
2243 : ad75;
2244 : 0000;
2245 : 0000;
2246 : 0000;
2247 : 0000;
2248 : 0000;
2249 : 0000;
2250 : 0000;
2251 : 0000;
2252 : 0000;
2253 : 18c3;
2254 : 0861;
2255 : 630c;
2256 : ad55;
2257 : 0000;
2258 : 0000;
2259 : 0000;
2260 : 0000;
2261 : 0000;
2262 : 1061;
2263 : ce58;
2264 : ffff;
2265 : eeb6;
2266 : cc23;
2267 : e4c4;
2268 : fe8f;
2269 : dd4b;
2270 : 69a0;
2271 : bc08;
2272 : fef1;
2273 : ff72;
2274 : ff32;
2275 : b447;
2276 : 71a0;
2277 : ddcc;
2278 : ff72;
2279 : ff31;
2280 : ff31;
2281 : ff31;
2282 : ff31;
2283 : ff52;
2284 : ee4f;
2285 : 8ae4;
2286 : 71c0;
2287 : 9304;
2288 : 9b04;
2289 : 79c0;
2290 : 9303;
2291 : ee2e;
2292 : ed46;
2293 : cc42;
2294 : eed7;
2295 : ffff;
2296 : ffff;
2297 : 9470;
2298 : 0000;
2299 : 0000;
2300 : 0020;
2301 : 0000;
2302 : 0000;
2303 : 8c51;
2304 : ffdf;
2305 : ffdf;
2306 : ffff;
2307 : ffff;
2308 : ffff;
2309 : ffff;
2310 : ffff;
2311 : ffff;
2312 : ffff;
2313 : ffff;
2314 : ffff;
2315 : ffff;
2316 : ffff;
2317 : ffff;
2318 : ffff;
2319 : ffff;
2320 : ffff;
2321 : ffff;
2322 : ffff;
2323 : ffff;
2324 : ffff;
2325 : ffff;
2326 : ffff;
2327 : ffff;
2328 : ffff;
2329 : ffff;
2330 : ffff;
2331 : ffff;
2332 : ffff;
2333 : ffff;
2334 : ffff;
2335 : ffff;
2336 : ffff;
2337 : ffff;
2338 : ffff;
2339 : ffff;
2340 : ffff;
2341 : ffff;
2342 : ffff;
2343 : 6b4d;
2344 : 0000;
2345 : 0000;
2346 : 0000;
2347 : 0000;
2348 : 0000;
2349 : 0000;
2350 : 0000;
2351 : 0000;
2352 : 0000;
2353 : 0000;
2354 : 0000;
2355 : 2124;
2356 : ef7d;
2357 : 6b4d;
2358 : 0000;
2359 : 0000;
2360 : 0820;
2361 : 0000;
2362 : 6b2c;
2363 : ffff;
2364 : f7bc;
2365 : d4ca;
2366 : e463;
2367 : fe0d;
2368 : fe6f;
2369 : edce;
2370 : c449;
2371 : f60f;
2372 : fed0;
2373 : f6ef;
2374 : ff51;
2375 : ee4e;
2376 : 82e2;
2377 : d5ab;
2378 : ff51;
2379 : ff30;
2380 : ff31;
2381 : ff31;
2382 : ff31;
2383 : ff51;
2384 : a3e6;
2385 : 69e0;
2386 : d56c;
2387 : ff32;
2388 : ff32;
2389 : dd8d;
2390 : 7a00;
2391 : a385;
2392 : fe8e;
2393 : d4c4;
2394 : cca8;
2395 : ff7b;
2396 : ffff;
2397 : df1b;
2398 : 2123;
2399 : 0000;
2400 : 0000;
2401 : 2103;
2402 : 9cf3;
2403 : f7be;
2404 : ffff;
2405 : ffff;
2406 : ffff;
2407 : ffff;
2408 : ffff;
2409 : ffff;
2410 : ffff;
2411 : ffff;
2412 : ffff;
2413 : ffff;
2414 : ffff;
2415 : ffff;
2416 : ffff;
2417 : ffff;
2418 : ffff;
2419 : ffff;
2420 : ffff;
2421 : ffff;
2422 : ffff;
2423 : ffff;
2424 : ffff;
2425 : ffff;
2426 : ffff;
2427 : ffff;
2428 : ffff;
2429 : ffff;
2430 : ffff;
2431 : ffff;
2432 : ffff;
2433 : ffff;
2434 : ffff;
2435 : ffff;
2436 : ffff;
2437 : ffff;
2438 : ffff;
2439 : ffff;
2440 : ffff;
2441 : ffff;
2442 : ffdf;
2443 : 39e7;
2444 : 0000;
2445 : 0000;
2446 : 0000;
2447 : 0000;
2448 : 0000;
2449 : 0000;
2450 : 0000;
2451 : 0000;
2452 : 0000;
2453 : 0000;
2454 : 0000;
2455 : 3186;
2456 : ef5d;
2457 : e73c;
2458 : 5aeb;
2459 : 0000;
2460 : 0000;
2461 : 1082;
2462 : d65a;
2463 : ffff;
2464 : ee74;
2465 : dc23;
2466 : ece6;
2467 : ed8e;
2468 : fe10;
2469 : f5ae;
2470 : fe10;
2471 : fe50;
2472 : fe6f;
2473 : fecf;
2474 : fef0;
2475 : fef0;
2476 : f6af;
2477 : fef0;
2478 : ff30;
2479 : ff10;
2480 : ff10;
2481 : ff10;
2482 : ff31;
2483 : ff31;
2484 : bce9;
2485 : bca9;
2486 : ff51;
2487 : ff50;
2488 : f710;
2489 : ff12;
2490 : b3e8;
2491 : 92c2;
2492 : f690;
2493 : ee0b;
2494 : d402;
2495 : ee11;
2496 : ffff;
2497 : ffff;
2498 : 738d;
2499 : 0000;
2500 : 4228;
2501 : dedb;
2502 : ffff;
2503 : ffff;
2504 : ffff;
2505 : ffff;
2506 : ffff;
2507 : ffff;
2508 : ffff;
2509 : ffff;
2510 : ffff;
2511 : ffff;
2512 : ffff;
2513 : ffff;
2514 : ffff;
2515 : ffff;
2516 : ffff;
2517 : ffff;
2518 : ffff;
2519 : ffff;
2520 : ffff;
2521 : ffff;
2522 : ffff;
2523 : ffff;
2524 : ffff;
2525 : ffff;
2526 : ffff;
2527 : ffff;
2528 : ffff;
2529 : ffff;
2530 : ffff;
2531 : ffff;
2532 : ffff;
2533 : ffff;
2534 : ffff;
2535 : ffff;
2536 : ffff;
2537 : ffff;
2538 : ffff;
2539 : ffff;
2540 : ffff;
2541 : ffff;
2542 : e71c;
2543 : 2104;
2544 : 0000;
2545 : 0000;
2546 : 0000;
2547 : 0000;
2548 : 0000;
2549 : 0000;
2550 : 0000;
2551 : 0000;
2552 : 0000;
2553 : 0000;
2554 : 0000;
2555 : 5acb;
2556 : f7be;
2557 : ffff;
2558 : f79e;
2559 : 8c51;
2560 : 1082;
2561 : 632b;
2562 : ffff;
2563 : ff9c;
2564 : dce9;
2565 : dbc2;
2566 : e428;
2567 : ed0e;
2568 : ecac;
2569 : dc4a;
2570 : f58e;
2571 : fe2f;
2572 : fe70;
2573 : fecf;
2574 : feef;
2575 : ff10;
2576 : ff30;
2577 : ff10;
2578 : ff10;
2579 : ff10;
2580 : ff10;
2581 : ff30;
2582 : ff30;
2583 : ff30;
2584 : ff31;
2585 : ff30;
2586 : fef0;
2587 : ff10;
2588 : f6cf;
2589 : fe70;
2590 : f60f;
2591 : edae;
2592 : fe31;
2593 : fe6e;
2594 : e4e5;
2595 : d4a6;
2596 : f77b;
2597 : ffff;
2598 : ce59;
2599 : 1082;
2600 : bdf7;
2601 : ffff;
2602 : ffdf;
2603 : ffff;
2604 : ffff;
2605 : ffff;
2606 : ffff;
2607 : ffff;
2608 : ffff;
2609 : ffff;
2610 : ffff;
2611 : ffff;
2612 : ffff;
2613 : ffff;
2614 : ffff;
2615 : ffff;
2616 : ffff;
2617 : ffff;
2618 : ffff;
2619 : ffff;
2620 : ffff;
2621 : ffff;
2622 : ffff;
2623 : ffff;
2624 : ffff;
2625 : ffff;
2626 : ffff;
2627 : ffff;
2628 : ffff;
2629 : ffff;
2630 : ffff;
2631 : ffff;
2632 : ffff;
2633 : ffff;
2634 : ffff;
2635 : ffff;
2636 : ffff;
2637 : ffff;
2638 : ffff;
2639 : ffff;
2640 : ffff;
2641 : ffff;
2642 : d6ba;
2643 : 1082;
2644 : 0000;
2645 : 0000;
2646 : 0000;
2647 : 0000;
2648 : 0000;
2649 : 0000;
2650 : 0000;
2651 : 0000;
2652 : 0000;
2653 : 0000;
2654 : 0000;
2655 : 9492;
2656 : ffff;
2657 : ffff;
2658 : ffff;
2659 : ffff;
2660 : 8c51;
2661 : bdf7;
2662 : ffff;
2663 : ee95;
2664 : d423;
2665 : e487;
2666 : fd6e;
2667 : f58e;
2668 : e44a;
2669 : fd8e;
2670 : fdef;
2671 : f62e;
2672 : f66e;
2673 : fecf;
2674 : feef;
2675 : ff0f;
2676 : ff0f;
2677 : ff0f;
2678 : ff0f;
2679 : ff2f;
2680 : ff2f;
2681 : ff2f;
2682 : ff0f;
2683 : ff0f;
2684 : ff2f;
2685 : ff2f;
2686 : ff10;
2687 : fef0;
2688 : f68f;
2689 : fe4f;
2690 : fe50;
2691 : fe11;
2692 : ed4d;
2693 : fe0f;
2694 : f58c;
2695 : cc02;
2696 : e5f1;
2697 : ffff;
2698 : ffff;
2699 : 4a69;
2700 : a534;
2701 : ffff;
2702 : ffff;
2703 : ffff;
2704 : ffff;
2705 : ffff;
2706 : ffff;
2707 : ffff;
2708 : ffff;
2709 : ffff;
2710 : ffff;
2711 : ffff;
2712 : ffff;
2713 : ffff;
2714 : ffff;
2715 : ffff;
2716 : ffff;
2717 : ffff;
2718 : ffff;
2719 : ffff;
2720 : ffff;
2721 : ffff;
2722 : ffff;
2723 : ffff;
2724 : ffff;
2725 : ffff;
2726 : ffff;
2727 : ffff;
2728 : ffff;
2729 : ffff;
2730 : ffff;
2731 : ffff;
2732 : ffff;
2733 : ffff;
2734 : ffff;
2735 : ffff;
2736 : ffff;
2737 : ffff;
2738 : ffff;
2739 : ffff;
2740 : ffff;
2741 : ffff;
2742 : d6ba;
2743 : 1082;
2744 : 0000;
2745 : 0000;
2746 : 0000;
2747 : 0000;
2748 : 0000;
2749 : 0000;
2750 : 0000;
2751 : 0000;
2752 : 0000;
2753 : 0000;
2754 : 18e3;
2755 : d6ba;
2756 : ffff;
2757 : ffff;
2758 : ffff;
2759 : d6db;
2760 : 8c51;
2761 : f79d;
2762 : ffff;
2763 : e5ce;
2764 : dc62;
2765 : fe2c;
2766 : fe4f;
2767 : f62e;
2768 : fe0f;
2769 : fe0f;
2770 : fe0e;
2771 : fe2e;
2772 : fe6e;
2773 : fece;
2774 : feee;
2775 : ff0f;
2776 : ff0f;
2777 : ff0f;
2778 : feef;
2779 : feef;
2780 : ff0f;
2781 : ff0f;
2782 : feef;
2783 : feef;
2784 : ff0f;
2785 : ff0f;
2786 : ff0f;
2787 : feaf;
2788 : f64e;
2789 : fe2f;
2790 : f5cf;
2791 : e48b;
2792 : e46b;
2793 : f52e;
2794 : e46b;
2795 : d3e2;
2796 : d4ca;
2797 : f7be;
2798 : ffff;
2799 : 9cf3;
2800 : 9492;
2801 : ef7d;
2802 : ffff;
2803 : ffff;
2804 : ffff;
2805 : ffff;
2806 : ffff;
2807 : ffff;
2808 : ffff;
2809 : ffff;
2810 : ffff;
2811 : ffff;
2812 : ffff;
2813 : ffff;
2814 : ffff;
2815 : ffff;
2816 : ffff;
2817 : ffff;
2818 : ffff;
2819 : ffff;
2820 : ffff;
2821 : ffff;
2822 : ffff;
2823 : ffff;
2824 : ffff;
2825 : ffff;
2826 : ffff;
2827 : ffff;
2828 : ffff;
2829 : ffff;
2830 : ffff;
2831 : ffff;
2832 : ffff;
2833 : ffff;
2834 : ffff;
2835 : ffff;
2836 : ffff;
2837 : ffff;
2838 : ffff;
2839 : ffff;
2840 : ffff;
2841 : ffff;
2842 : e73c;
2843 : 2104;
2844 : 0000;
2845 : 0000;
2846 : 0000;
2847 : 0000;
2848 : 0000;
2849 : 0000;
2850 : 0000;
2851 : 0000;
2852 : 0000;
2853 : 0000;
2854 : 8c51;
2855 : ffff;
2856 : ffdf;
2857 : ffff;
2858 : ffff;
2859 : a534;
2860 : a534;
2861 : ffff;
2862 : ffdd;
2863 : dd29;
2864 : dce3;
2865 : fead;
2866 : fdee;
2867 : f60e;
2868 : fe0e;
2869 : fe2d;
2870 : fe6e;
2871 : feae;
2872 : feef;
2873 : ff2e;
2874 : ff4e;
2875 : ff4e;
2876 : ff2e;
2877 : ff0e;
2878 : ff0e;
2879 : ff0f;
2880 : ff0f;
2881 : ff0f;
2882 : f6ef;
2883 : feef;
2884 : ff0f;
2885 : ff0e;
2886 : feef;
2887 : feae;
2888 : f64e;
2889 : fe2f;
2890 : ed8d;
2891 : e4ac;
2892 : fdaf;
2893 : e4cb;
2894 : ed0d;
2895 : ece6;
2896 : d446;
2897 : f739;
2898 : ffff;
2899 : c638;
2900 : 9491;
2901 : d6ba;
2902 : ffff;
2903 : ffff;
2904 : ffff;
2905 : ffff;
2906 : ffff;
2907 : ffff;
2908 : ffff;
2909 : ffff;
2910 : ffff;
2911 : ffff;
2912 : ffff;
2913 : ffff;
2914 : ffff;
2915 : ffff;
2916 : ffff;
2917 : ffff;
2918 : ffff;
2919 : ffff;
2920 : ffff;
2921 : ffff;
2922 : ffff;
2923 : ffff;
2924 : ffff;
2925 : ffff;
2926 : ffff;
2927 : ffff;
2928 : ffff;
2929 : ffff;
2930 : ffff;
2931 : ffff;
2932 : ffff;
2933 : ffff;
2934 : ffff;
2935 : ffff;
2936 : ffff;
2937 : ffff;
2938 : ffff;
2939 : ffff;
2940 : ffff;
2941 : ffff;
2942 : ffff;
2943 : 528a;
2944 : 0000;
2945 : 0000;
2946 : 0000;
2947 : 0000;
2948 : 0000;
2949 : 0000;
2950 : 0000;
2951 : 0000;
2952 : 0000;
2953 : 5acb;
2954 : f7be;
2955 : ffff;
2956 : ffff;
2957 : ffff;
2958 : f79e;
2959 : 8451;
2960 : deba;
2961 : ffff;
2962 : ffbb;
2963 : d4a5;
2964 : eda5;
2965 : fead;
2966 : c386;
2967 : b325;
2968 : c347;
2969 : cb26;
2970 : cba7;
2971 : d408;
2972 : dc68;
2973 : dca8;
2974 : dd09;
2975 : e58a;
2976 : edab;
2977 : f62c;
2978 : f66c;
2979 : fead;
2980 : f6ce;
2981 : ff0e;
2982 : ff0f;
2983 : ff2f;
2984 : ff4f;
2985 : ff4f;
2986 : ff4f;
2987 : ff0f;
2988 : feaf;
2989 : fe4e;
2990 : fe4f;
2991 : fe0f;
2992 : fdee;
2993 : f5ee;
2994 : fe4f;
2995 : f568;
2996 : cbe1;
2997 : de33;
2998 : ffff;
2999 : ef5d;
3000 : ad54;
3001 : b5b6;
3002 : ffff;
3003 : ffff;
3004 : ffff;
3005 : ffff;
3006 : ffff;
3007 : ffff;
3008 : ffff;
3009 : ffff;
3010 : ffff;
3011 : ffff;
3012 : ffff;
3013 : ffff;
3014 : ffff;
3015 : ffff;
3016 : ffff;
3017 : ffff;
3018 : ffff;
3019 : ffff;
3020 : ffff;
3021 : ffff;
3022 : ffff;
3023 : ffff;
3024 : ffff;
3025 : ffff;
3026 : ffff;
3027 : ffff;
3028 : ffff;
3029 : ffff;
3030 : ffff;
3031 : ffff;
3032 : ffff;
3033 : ffff;
3034 : ffff;
3035 : ffff;
3036 : ffff;
3037 : ffff;
3038 : ffff;
3039 : ffff;
3040 : ffff;
3041 : ffff;
3042 : ffff;
3043 : a514;
3044 : 0000;
3045 : 0000;
3046 : 0000;
3047 : 0000;
3048 : 0000;
3049 : 0000;
3050 : 0000;
3051 : 0000;
3052 : 2104;
3053 : ce79;
3054 : ffff;
3055 : ffff;
3056 : ffff;
3057 : ffff;
3058 : d69a;
3059 : 8c51;
3060 : ffde;
3061 : ffff;
3062 : f738;
3063 : d463;
3064 : ede5;
3065 : f66a;
3066 : b345;
3067 : e5b4;
3068 : ee37;
3069 : dd74;
3070 : e572;
3071 : bbaa;
3072 : c42d;
3073 : cc2d;
3074 : c3ec;
3075 : c40c;
3076 : bb68;
3077 : bb26;
3078 : bb26;
3079 : bb86;
3080 : c386;
3081 : cbc6;
3082 : d3e6;
3083 : cbe6;
3084 : d467;
3085 : dc87;
3086 : dd09;
3087 : dd29;
3088 : ed4a;
3089 : f58b;
3090 : f5ac;
3091 : fdac;
3092 : fe0d;
3093 : fe4e;
3094 : fe6f;
3095 : f5a9;
3096 : cbe0;
3097 : c52e;
3098 : ffff;
3099 : ffff;
3100 : ce58;
3101 : 9cd2;
3102 : ffff;
3103 : ffff;
3104 : ffff;
3105 : ffff;
3106 : ffff;
3107 : ffff;
3108 : ffff;
3109 : ffff;
3110 : ffff;
3111 : ffff;
3112 : ffff;
3113 : ffff;
3114 : ffff;
3115 : ffff;
3116 : ffff;
3117 : ffff;
3118 : ffff;
3119 : ffff;
3120 : ffff;
3121 : ffff;
3122 : ffff;
3123 : ffff;
3124 : ffff;
3125 : ffff;
3126 : ffff;
3127 : ffff;
3128 : ffff;
3129 : ffff;
3130 : ffff;
3131 : ffff;
3132 : ffff;
3133 : ffff;
3134 : ffff;
3135 : ffff;
3136 : ffff;
3137 : ffff;
3138 : ffff;
3139 : ffff;
3140 : ffff;
3141 : ffff;
3142 : ffff;
3143 : a534;
3144 : 0000;
3145 : 0000;
3146 : 0000;
3147 : 0000;
3148 : 0000;
3149 : 0000;
3150 : 0000;
3151 : 0000;
3152 : 8430;
3153 : ffff;
3154 : ffdf;
3155 : ffff;
3156 : ffff;
3157 : ffff;
3158 : ad75;
3159 : a534;
3160 : ffff;
3161 : ffff;
3162 : e695;
3163 : cc01;
3164 : f5e6;
3165 : f64a;
3166 : bb86;
3167 : ff3b;
3168 : ffff;
3169 : ffff;
3170 : fffe;
3171 : cdb2;
3172 : f77c;
3173 : ffff;
3174 : fffe;
3175 : fffe;
3176 : e697;
3177 : cd31;
3178 : f6d9;
3179 : eef8;
3180 : ee96;
3181 : e615;
3182 : c44d;
3183 : c42c;
3184 : d4f0;
3185 : c44d;
3186 : c46d;
3187 : bbaa;
3188 : b2e6;
3189 : c327;
3190 : bb47;
3191 : c367;
3192 : bb66;
3193 : cb45;
3194 : f5cc;
3195 : fe2a;
3196 : cc00;
3197 : b46b;
3198 : ffdf;
3199 : ffff;
3200 : defb;
3201 : 8c71;
3202 : ffde;
3203 : ffff;
3204 : ffff;
3205 : ffff;
3206 : ffff;
3207 : ffff;
3208 : ffff;
3209 : ffff;
3210 : ffff;
3211 : ffff;
3212 : ffff;
3213 : ffff;
3214 : ffff;
3215 : ffff;
3216 : ffff;
3217 : ffff;
3218 : ffff;
3219 : ffff;
3220 : ffff;
3221 : ffff;
3222 : ffff;
3223 : ffff;
3224 : ffff;
3225 : ffff;
3226 : ffff;
3227 : ffff;
3228 : ffff;
3229 : ffff;
3230 : ffff;
3231 : ffff;
3232 : ffff;
3233 : ffff;
3234 : ffff;
3235 : ffff;
3236 : ffff;
3237 : ffff;
3238 : ffff;
3239 : ffff;
3240 : ffff;
3241 : ffff;
3242 : ffff;
3243 : 8c71;
3244 : 0000;
3245 : 0000;
3246 : 0000;
3247 : 0000;
3248 : 0000;
3249 : 0000;
3250 : 0000;
3251 : 0000;
3252 : a534;
3253 : ffff;
3254 : ffff;
3255 : ffff;
3256 : ffff;
3257 : ffff;
3258 : 9cd3;
3259 : c638;
3260 : ffff;
3261 : ffff;
3262 : cdb1;
3263 : cbe0;
3264 : fde6;
3265 : fe8a;
3266 : bb65;
3267 : ee98;
3268 : ffff;
3269 : ffff;
3270 : f77c;
3271 : c593;
3272 : f77c;
3273 : ffff;
3274 : ffde;
3275 : ffff;
3276 : deb9;
3277 : ce16;
3278 : ffff;
3279 : f7ff;
3280 : ffff;
3281 : ffff;
3282 : d615;
3283 : e6d9;
3284 : ffff;
3285 : ffff;
3286 : ffff;
3287 : f77b;
3288 : c573;
3289 : f6f9;
3290 : f73a;
3291 : f6fa;
3292 : e5f6;
3293 : aaa4;
3294 : e5a9;
3295 : fe6a;
3296 : d400;
3297 : b429;
3298 : f79d;
3299 : ffff;
3300 : ef7d;
3301 : 8c71;
3302 : ef5d;
3303 : ffff;
3304 : ffff;
3305 : ffff;
3306 : ffff;
3307 : ffff;
3308 : ffff;
3309 : ffff;
3310 : ffff;
3311 : ffff;
3312 : ffff;
3313 : ffff;
3314 : ffff;
3315 : ffff;
3316 : ffff;
3317 : ffff;
3318 : ffff;
3319 : ffff;
3320 : ffff;
3321 : ffff;
3322 : ffff;
3323 : ffff;
3324 : ffff;
3325 : ffff;
3326 : ffff;
3327 : ffff;
3328 : ffff;
3329 : ffff;
3330 : ffff;
3331 : ffff;
3332 : ffff;
3333 : ffff;
3334 : ffff;
3335 : ffff;
3336 : ffff;
3337 : ffff;
3338 : ffff;
3339 : ffff;
3340 : ffff;
3341 : ffff;
3342 : ffff;
3343 : 9492;
3344 : 0000;
3345 : 0000;
3346 : 0000;
3347 : 0000;
3348 : 0000;
3349 : 0000;
3350 : 0000;
3351 : 0000;
3352 : 9cf3;
3353 : ffff;
3354 : ffff;
3355 : ffff;
3356 : ffff;
3357 : ef7d;
3358 : 8430;
3359 : e73c;
3360 : ffff;
3361 : ffff;
3362 : e654;
3363 : d3e1;
3364 : eda5;
3365 : feca;
3366 : c384;
3367 : e5d5;
3368 : ffff;
3369 : ffff;
3370 : ef3b;
3371 : cd94;
3372 : ffbe;
3373 : ffff;
3374 : fffe;
3375 : ffff;
3376 : d657;
3377 : ce35;
3378 : ffff;
3379 : ffde;
3380 : fffe;
3381 : fffe;
3382 : cdb4;
3383 : e6d9;
3384 : ffff;
3385 : ffdf;
3386 : ffff;
3387 : f75b;
3388 : bd73;
3389 : f7dd;
3390 : ffff;
3391 : ffff;
3392 : ff1b;
3393 : bb47;
3394 : f66b;
3395 : f5e8;
3396 : cc20;
3397 : ac09;
3398 : f77d;
3399 : ffff;
3400 : ffff;
3401 : 630c;
3402 : 8430;
3403 : ffdf;
3404 : ffff;
3405 : ffff;
3406 : ffff;
3407 : ffff;
3408 : ffff;
3409 : ffff;
3410 : ffff;
3411 : ffff;
3412 : ffff;
3413 : ffff;
3414 : ffff;
3415 : ffff;
3416 : ffff;
3417 : ffff;
3418 : ffff;
3419 : ffff;
3420 : ffff;
3421 : ffff;
3422 : ffff;
3423 : ffff;
3424 : ffff;
3425 : ffff;
3426 : ffff;
3427 : ffff;
3428 : ffff;
3429 : ffff;
3430 : ffff;
3431 : ffff;
3432 : ffff;
3433 : ffff;
3434 : ffff;
3435 : ffff;
3436 : ffff;
3437 : ffff;
3438 : ffff;
3439 : ffff;
3440 : ffff;
3441 : ffff;
3442 : ffff;
3443 : a514;
3444 : 0000;
3445 : 0000;
3446 : 0000;
3447 : 0000;
3448 : 0000;
3449 : 0000;
3450 : 0000;
3451 : 0000;
3452 : 8c71;
3453 : ffff;
3454 : ffdf;
3455 : ffdf;
3456 : ffff;
3457 : 8410;
3458 : 52aa;
3459 : ffdf;
3460 : fffe;
3461 : ffff;
3462 : f75a;
3463 : d4a5;
3464 : dd23;
3465 : feea;
3466 : cc25;
3467 : ccaf;
3468 : ffff;
3469 : ffff;
3470 : e6fa;
3471 : cdd4;
3472 : f7de;
3473 : ffff;
3474 : ffff;
3475 : ffff;
3476 : cdf6;
3477 : ce36;
3478 : ffff;
3479 : ffff;
3480 : ffff;
3481 : ffde;
3482 : c594;
3483 : ef3b;
3484 : ffff;
3485 : ffff;
3486 : ffff;
3487 : e6fa;
3488 : bd53;
3489 : ffbe;
3490 : ffdf;
3491 : ffff;
3492 : e5b4;
3493 : c344;
3494 : feed;
3495 : ed86;
3496 : cc21;
3497 : de12;
3498 : ffff;
3499 : ffff;
3500 : ffff;
3501 : 52aa;
3502 : 0000;
3503 : b596;
3504 : ffff;
3505 : ffdf;
3506 : ffff;
3507 : ffff;
3508 : ffff;
3509 : ffff;
3510 : ffff;
3511 : ffff;
3512 : ffff;
3513 : ffff;
3514 : ffff;
3515 : ffff;
3516 : ffff;
3517 : ffff;
3518 : ffff;
3519 : ffff;
3520 : ffff;
3521 : ffff;
3522 : ffff;
3523 : ffff;
3524 : ffff;
3525 : ffff;
3526 : ffff;
3527 : ffff;
3528 : ffff;
3529 : ffff;
3530 : ffff;
3531 : ffff;
3532 : ffff;
3533 : ffff;
3534 : ffff;
3535 : ffff;
3536 : ffff;
3537 : ffff;
3538 : ffff;
3539 : ffff;
3540 : ffff;
3541 : ffff;
3542 : ffff;
3543 : ad75;
3544 : 0000;
3545 : 0000;
3546 : 0000;
3547 : 0000;
3548 : 0000;
3549 : 0000;
3550 : 0000;
3551 : 0000;
3552 : 4a69;
3553 : f79e;
3554 : ffdf;
3555 : ffff;
3556 : a514;
3557 : 0000;
3558 : 6b6d;
3559 : ffff;
3560 : ffff;
3561 : ffff;
3562 : fffe;
3563 : dd8b;
3564 : dca1;
3565 : fec9;
3566 : e568;
3567 : bb88;
3568 : ff9c;
3569 : ffff;
3570 : e6b9;
3571 : cdf5;
3572 : ffff;
3573 : ffdf;
3574 : ffff;
3575 : ffff;
3576 : cdf5;
3577 : de98;
3578 : ffff;
3579 : ffff;
3580 : ffff;
3581 : ff9d;
3582 : bd94;
3583 : ef9c;
3584 : ffff;
3585 : ffff;
3586 : ffff;
3587 : e6da;
3588 : c5b4;
3589 : fffe;
3590 : f7df;
3591 : ffde;
3592 : c3cc;
3593 : d425;
3594 : ff2c;
3595 : dd02;
3596 : dcc6;
3597 : ffbb;
3598 : ffff;
3599 : ffff;
3600 : ffff;
3601 : 4a69;
3602 : 0000;
3603 : 31a6;
3604 : defb;
3605 : ffff;
3606 : ffff;
3607 : ffff;
3608 : ffff;
3609 : ffff;
3610 : ffff;
3611 : ffff;
3612 : ffff;
3613 : ffff;
3614 : ffff;
3615 : ffff;
3616 : ffff;
3617 : ffff;
3618 : ffff;
3619 : ffff;
3620 : ffff;
3621 : ffff;
3622 : ffff;
3623 : ffff;
3624 : ffff;
3625 : ffff;
3626 : ffff;
3627 : ffff;
3628 : ffff;
3629 : ffff;
3630 : ffff;
3631 : ffff;
3632 : ffff;
3633 : ffff;
3634 : ffff;
3635 : ffff;
3636 : ffff;
3637 : ffff;
3638 : ffff;
3639 : ffff;
3640 : ffff;
3641 : ffff;
3642 : ffff;
3643 : ce59;
3644 : 1082;
3645 : 0000;
3646 : 0000;
3647 : 0000;
3648 : 0000;
3649 : 0000;
3650 : 0000;
3651 : 0000;
3652 : 0841;
3653 : ad55;
3654 : ffff;
3655 : ad55;
3656 : 10a2;
3657 : 0000;
3658 : 7bcf;
3659 : ffff;
3660 : ffff;
3661 : ffff;
3662 : ffff;
3663 : ee93;
3664 : d443;
3665 : f626;
3666 : feaa;
3667 : bb24;
3668 : e5f5;
3669 : ffff;
3670 : d679;
3671 : cdf5;
3672 : ffff;
3673 : ffff;
3674 : ffff;
3675 : ffff;
3676 : c5d4;
3677 : e6d9;
3678 : ffff;
3679 : ffff;
3680 : ffff;
3681 : f79c;
3682 : bd94;
3683 : f7bd;
3684 : ffff;
3685 : ffff;
3686 : ffff;
3687 : de78;
3688 : cdd5;
3689 : fffe;
3690 : ffff;
3691 : eeb8;
3692 : bb26;
3693 : f60a;
3694 : fe87;
3695 : d460;
3696 : e60f;
3697 : ffff;
3698 : ffff;
3699 : ffff;
3700 : ef5d;
3701 : 2965;
3702 : 0000;
3703 : 0000;
3704 : 73ae;
3705 : ffdf;
3706 : ffdf;
3707 : ffff;
3708 : ffff;
3709 : ffff;
3710 : ffff;
3711 : ffff;
3712 : ffff;
3713 : ffff;
3714 : ffff;
3715 : ffff;
3716 : ffff;
3717 : ffff;
3718 : ffff;
3719 : ffff;
3720 : ffff;
3721 : ffff;
3722 : ffff;
3723 : ffff;
3724 : ffff;
3725 : ffff;
3726 : ffff;
3727 : ffff;
3728 : ffff;
3729 : ffff;
3730 : ffff;
3731 : ffff;
3732 : ffff;
3733 : ffff;
3734 : ffff;
3735 : ffff;
3736 : ffff;
3737 : ffff;
3738 : ffff;
3739 : ffff;
3740 : ffff;
3741 : ffff;
3742 : ffff;
3743 : ef5d;
3744 : 2124;
3745 : 0000;
3746 : 0000;
3747 : 0000;
3748 : 0000;
3749 : 0000;
3750 : 0000;
3751 : 0000;
3752 : 0000;
3753 : 2945;
3754 : 6b6d;
3755 : 10a2;
3756 : 0000;
3757 : 0000;
3758 : 73ae;
3759 : ffff;
3760 : ffff;
3761 : ffff;
3762 : f7ff;
3763 : ffbb;
3764 : d4e7;
3765 : e503;
3766 : ff0a;
3767 : dc85;
3768 : bba9;
3769 : fffd;
3770 : de58;
3771 : d636;
3772 : ffff;
3773 : ffde;
3774 : ffff;
3775 : ffde;
3776 : c5b4;
3777 : ef3a;
3778 : ffff;
3779 : ffff;
3780 : ffff;
3781 : f77c;
3782 : c594;
3783 : ffde;
3784 : ffff;
3785 : ffff;
3786 : ffff;
3787 : d657;
3788 : d616;
3789 : ffff;
3790 : fffe;
3791 : cc2b;
3792 : cc45;
3793 : ff0b;
3794 : ed63;
3795 : cc64;
3796 : f758;
3797 : ffff;
3798 : ffff;
3799 : ffff;
3800 : ce79;
3801 : 0841;
3802 : 0000;
3803 : 0000;
3804 : 1082;
3805 : bdd7;
3806 : ffff;
3807 : ffdf;
3808 : ffff;
3809 : ffff;
3810 : ffff;
3811 : ffff;
3812 : ffff;
3813 : ffff;
3814 : ffff;
3815 : ffff;
3816 : ffff;
3817 : ffff;
3818 : ffff;
3819 : ffff;
3820 : ffff;
3821 : ffff;
3822 : ffff;
3823 : ffff;
3824 : ffff;
3825 : ffff;
3826 : ffff;
3827 : ffff;
3828 : ffff;
3829 : ffff;
3830 : ffff;
3831 : ffff;
3832 : ffff;
3833 : ffff;
3834 : ffff;
3835 : ffff;
3836 : ffff;
3837 : ffff;
3838 : ffff;
3839 : ffff;
3840 : ffff;
3841 : ffff;
3842 : ffff;
3843 : f7be;
3844 : 4228;
3845 : 0000;
3846 : 0000;
3847 : 0000;
3848 : 0000;
3849 : 0000;
3850 : 0000;
3851 : 0000;
3852 : 0000;
3853 : 0000;
3854 : 0000;
3855 : 0000;
3856 : 0020;
3857 : 0000;
3858 : 5aeb;
3859 : ffff;
3860 : ffff;
3861 : fffe;
3862 : ffff;
3863 : fffe;
3864 : e62f;
3865 : dc41;
3866 : f646;
3867 : f6aa;
3868 : b303;
3869 : d572;
3870 : de56;
3871 : d657;
3872 : fffe;
3873 : fffe;
3874 : ffff;
3875 : f7bd;
3876 : bd53;
3877 : ef3b;
3878 : ffff;
3879 : ffff;
3880 : ffff;
3881 : e6fa;
3882 : bdb4;
3883 : fffe;
3884 : ffff;
3885 : ffff;
3886 : ffff;
3887 : cdf5;
3888 : ce16;
3889 : ffff;
3890 : ddd5;
3891 : bae4;
3892 : f64a;
3893 : fe89;
3894 : dc81;
3895 : e5ad;
3896 : fffe;
3897 : ffff;
3898 : ffff;
3899 : ffff;
3900 : 9492;
3901 : 0000;
3902 : 0000;
3903 : 0000;
3904 : 0000;
3905 : 39c7;
3906 : e73c;
3907 : ffff;
3908 : ffff;
3909 : ffff;
3910 : ffff;
3911 : ffff;
3912 : ffff;
3913 : ffff;
3914 : ffff;
3915 : ffff;
3916 : ffff;
3917 : ffff;
3918 : ffff;
3919 : ffff;
3920 : ffff;
3921 : ffff;
3922 : ffff;
3923 : ffff;
3924 : ffff;
3925 : ffff;
3926 : ffff;
3927 : ffff;
3928 : ffff;
3929 : ffff;
3930 : ffff;
3931 : ffff;
3932 : ffff;
3933 : ffff;
3934 : ffff;
3935 : ffff;
3936 : ffff;
3937 : ffff;
3938 : ffff;
3939 : ffff;
3940 : ffff;
3941 : ffff;
3942 : ffff;
3943 : ffff;
3944 : 738e;
3945 : 0000;
3946 : 0000;
3947 : 0000;
3948 : 0000;
3949 : 0000;
3950 : 0000;
3951 : 0000;
3952 : 0000;
3953 : 0000;
3954 : 0000;
3955 : 0000;
3956 : 0000;
3957 : 0000;
3958 : 2945;
3959 : ef5d;
3960 : ffff;
3961 : ffff;
3962 : ffff;
3963 : ffff;
3964 : f79a;
3965 : d4a5;
3966 : dd01;
3967 : f6e9;
3968 : ed87;
3969 : b304;
3970 : c46d;
3971 : e6fa;
3972 : ffff;
3973 : fffe;
3974 : ffff;
3975 : f79c;
3976 : c594;
3977 : f77c;
3978 : ffff;
3979 : ffff;
3980 : ffff;
3981 : deb9;
3982 : c5f5;
3983 : ffff;
3984 : ffff;
3985 : ffff;
3986 : fffe;
3987 : ce15;
3988 : ded9;
3989 : f6b8;
3990 : b2e5;
3991 : e548;
3992 : ff0a;
3993 : e523;
3994 : d463;
3995 : f717;
3996 : ffff;
3997 : ffff;
3998 : ffff;
3999 : ffff;
4000 : 39e7;
4001 : 0000;
4002 : 0000;
4003 : 0000;
4004 : 0000;
4005 : 0000;
4006 : 7bcf;
4007 : ffff;
4008 : ffff;
4009 : ffff;
4010 : ffff;
4011 : ffff;
4012 : ffff;
4013 : ffff;
4014 : ffff;
4015 : ffff;
4016 : ffff;
4017 : ffff;
4018 : ffff;
4019 : ffff;
4020 : ffff;
4021 : ffff;
4022 : ffff;
4023 : ffff;
4024 : ffff;
4025 : ffff;
4026 : ffff;
4027 : ffff;
4028 : ffff;
4029 : ffff;
4030 : ffff;
4031 : ffff;
4032 : ffff;
4033 : ffff;
4034 : ffff;
4035 : ffff;
4036 : ffff;
4037 : ffff;
4038 : ffff;
4039 : ffff;
4040 : ffff;
4041 : ffff;
4042 : ffff;
4043 : ffff;
4044 : a514;
4045 : 0000;
4046 : 0000;
4047 : 0000;
4048 : 0000;
4049 : 0000;
4050 : 0000;
4051 : 0000;
4052 : 0000;
4053 : 0000;
4054 : 0000;
4055 : 0000;
4056 : 0000;
4057 : 0000;
4058 : 0000;
4059 : a534;
4060 : ffff;
4061 : fffe;
4062 : ffff;
4063 : ffff;
4064 : fffe;
4065 : e650;
4066 : d441;
4067 : ed63;
4068 : ff08;
4069 : e526;
4070 : b222;
4071 : ddd4;
4072 : ffff;
4073 : f7df;
4074 : ffff;
4075 : f73c;
4076 : bd94;
4077 : f7bd;
4078 : ffff;
4079 : ffff;
4080 : ffff;
4081 : d678;
4082 : c5d5;
4083 : ffff;
4084 : f7ff;
4085 : ffff;
4086 : fffe;
4087 : d5d4;
4088 : dd72;
4089 : b325;
4090 : dca4;
4091 : ff0a;
4092 : f5c4;
4093 : d421;
4094 : e631;
4095 : fffe;
4096 : ffff;
4097 : ffff;
4098 : ffff;
4099 : ffff;
4100 : 0000;
4101 : 0000;
4102 : 0000;
4103 : 0000;
4104 : 0000;
4105 : 0000;
4106 : 1082;
4107 : ce59;
4108 : ffff;
4109 : ffff;
4110 : ffff;
4111 : ffff;
4112 : ffff;
4113 : ffff;
4114 : ffff;
4115 : ffff;
4116 : ffff;
4117 : ffff;
4118 : ffff;
4119 : ffff;
4120 : ffff;
4121 : ffff;
4122 : ffff;
4123 : ffff;
4124 : ffff;
4125 : ffff;
4126 : ffff;
4127 : ffff;
4128 : ffff;
4129 : ffff;
4130 : ffff;
4131 : ffff;
4132 : ffff;
4133 : ffff;
4134 : ffff;
4135 : ffff;
4136 : ffff;
4137 : ffff;
4138 : ffff;
4139 : ffff;
4140 : ffff;
4141 : ffff;
4142 : ffff;
4143 : ffff;
4144 : d6ba;
4145 : 2104;
4146 : 0000;
4147 : 0000;
4148 : 0000;
4149 : 0000;
4150 : 0000;
4151 : 0000;
4152 : 0000;
4153 : 0000;
4154 : 0000;
4155 : 0000;
4156 : 0000;
4157 : 0000;
4158 : 0000;
4159 : 3186;
4160 : e73c;
4161 : ffff;
4162 : ffde;
4163 : ffff;
4164 : ffdf;
4165 : ef59;
4166 : dd6b;
4167 : d441;
4168 : edc3;
4169 : fee9;
4170 : e506;
4171 : b2e5;
4172 : dd72;
4173 : ffdd;
4174 : ffff;
4175 : ef3b;
4176 : c594;
4177 : ffbe;
4178 : ffff;
4179 : ffff;
4180 : ffff;
4181 : d657;
4182 : ce16;
4183 : ffff;
4184 : f7de;
4185 : ffff;
4186 : ffbd;
4187 : cc0c;
4188 : aaa3;
4189 : dce7;
4190 : fee9;
4191 : f625;
4192 : dc41;
4193 : dd4c;
4194 : fffd;
4195 : ffff;
4196 : ffff;
4197 : ffff;
4198 : ffff;
4199 : f7be;
4200 : 0000;
4201 : 0000;
4202 : 0000;
4203 : 0000;
4204 : 0000;
4205 : 0000;
4206 : 0000;
4207 : 9cd3;
4208 : ffff;
4209 : ffff;
4210 : ffff;
4211 : ffff;
4212 : ffff;
4213 : ffff;
4214 : ffff;
4215 : ffff;
4216 : ffff;
4217 : ffff;
4218 : ffff;
4219 : ffff;
4220 : ffff;
4221 : ffff;
4222 : ffff;
4223 : ffff;
4224 : ffff;
4225 : ffff;
4226 : ffff;
4227 : ffff;
4228 : ffff;
4229 : ffff;
4230 : ffff;
4231 : ffff;
4232 : ffff;
4233 : ffff;
4234 : ffff;
4235 : ffff;
4236 : ffff;
4237 : ffff;
4238 : ffff;
4239 : ffff;
4240 : ffff;
4241 : ffff;
4242 : ffff;
4243 : ffdf;
4244 : ffff;
4245 : 738e;
4246 : 0000;
4247 : 0020;
4248 : 0000;
4249 : 0000;
4250 : 0000;
4251 : 0000;
4252 : 0000;
4253 : 0000;
4254 : 0000;
4255 : 0000;
4256 : 0000;
4257 : 0000;
4258 : 0000;
4259 : 0000;
4260 : 4a69;
4261 : ef5d;
4262 : ffff;
4263 : fffe;
4264 : ffff;
4265 : f7be;
4266 : e695;
4267 : cce7;
4268 : dc60;
4269 : f584;
4270 : fee8;
4271 : ed67;
4272 : bb23;
4273 : bbea;
4274 : ee77;
4275 : e6b8;
4276 : c5d5;
4277 : ffff;
4278 : ffff;
4279 : ffff;
4280 : ffff;
4281 : d617;
4282 : de99;
4283 : ffff;
4284 : ffbd;
4285 : ee56;
4286 : bbcb;
4287 : bb22;
4288 : ed86;
4289 : fee9;
4290 : f5e4;
4291 : dc40;
4292 : d54a;
4293 : f7bc;
4294 : ffff;
4295 : ffff;
4296 : ffff;
4297 : ffdf;
4298 : ffff;
4299 : 9cf3;
4300 : 0000;
4301 : 0000;
4302 : 0000;
4303 : 0000;
4304 : 0000;
4305 : 0000;
4306 : 0000;
4307 : 9cd3;
4308 : ffff;
4309 : ffff;
4310 : ffff;
4311 : ffff;
4312 : ffff;
4313 : ffff;
4314 : ffff;
4315 : ffff;
4316 : ffff;
4317 : ffff;
4318 : ffff;
4319 : ffff;
4320 : ffff;
4321 : ffff;
4322 : ffff;
4323 : ffff;
4324 : ffff;
4325 : ffff;
4326 : ffff;
4327 : ffff;
4328 : ffff;
4329 : ffff;
4330 : ffff;
4331 : ffff;
4332 : ffff;
4333 : ffff;
4334 : ffff;
4335 : ffff;
4336 : ffff;
4337 : ffff;
4338 : ffff;
4339 : ffff;
4340 : ffff;
4341 : ffff;
4342 : ffff;
4343 : ffff;
4344 : ffff;
4345 : d69a;
4346 : 18e3;
4347 : 0000;
4348 : 0000;
4349 : 0000;
4350 : 0000;
4351 : 0000;
4352 : 0000;
4353 : 0000;
4354 : 0000;
4355 : 0000;
4356 : 0000;
4357 : 0000;
4358 : 0000;
4359 : 0000;
4360 : 0000;
4361 : 39e7;
4362 : ce79;
4363 : ffff;
4364 : ffff;
4365 : ffff;
4366 : f79d;
4367 : e674;
4368 : cca8;
4369 : d440;
4370 : e562;
4371 : fe86;
4372 : fe48;
4373 : d485;
4374 : bb03;
4375 : bae6;
4376 : bc0b;
4377 : e676;
4378 : eefa;
4379 : f71a;
4380 : ff1a;
4381 : cd31;
4382 : d552;
4383 : d531;
4384 : bba9;
4385 : bb23;
4386 : d485;
4387 : fe69;
4388 : fea6;
4389 : ed63;
4390 : d441;
4391 : dd29;
4392 : ff9a;
4393 : ffff;
4394 : ffde;
4395 : ffff;
4396 : ffdf;
4397 : ffff;
4398 : ce79;
4399 : 18e3;
4400 : 0000;
4401 : 0000;
4402 : 0000;
4403 : 0000;
4404 : 0000;
4405 : 0000;
4406 : 0000;
4407 : 9cd3;
4408 : ffff;
4409 : ffdf;
4410 : ffff;
4411 : ffff;
4412 : ffff;
4413 : ffff;
4414 : ffff;
4415 : ffff;
4416 : ffff;
4417 : ffff;
4418 : ffff;
4419 : ffff;
4420 : ffff;
4421 : ffff;
4422 : ffff;
4423 : ffff;
4424 : ffff;
4425 : ffff;
4426 : ffff;
4427 : ffff;
4428 : ffff;
4429 : ffff;
4430 : ffff;
4431 : ffff;
4432 : ffff;
4433 : ffff;
4434 : ffff;
4435 : ffff;
4436 : ffff;
4437 : ffff;
4438 : ffff;
4439 : ffff;
4440 : ffff;
4441 : ffff;
4442 : ffff;
4443 : ffff;
4444 : ffff;
4445 : ffff;
4446 : 8c51;
4447 : 0000;
4448 : 0000;
4449 : 0000;
4450 : 0000;
4451 : 0000;
4452 : 0000;
4453 : 0000;
4454 : 0000;
4455 : 0000;
4456 : 0000;
4457 : 0000;
4458 : 0000;
4459 : 0000;
4460 : 0000;
4461 : 0000;
4462 : 10a2;
4463 : 9492;
4464 : ffbf;
4465 : ffff;
4466 : f7ff;
4467 : ffff;
4468 : e6b8;
4469 : cd4b;
4470 : cc22;
4471 : e480;
4472 : f5c4;
4473 : fea7;
4474 : fe47;
4475 : e546;
4476 : cc24;
4477 : c384;
4478 : bb64;
4479 : bb45;
4480 : c345;
4481 : bb03;
4482 : bb42;
4483 : cbe4;
4484 : e505;
4485 : fe46;
4486 : fec8;
4487 : f5e4;
4488 : dcc0;
4489 : cc21;
4490 : d52b;
4491 : f778;
4492 : ffff;
4493 : f7df;
4494 : ffde;
4495 : ffdf;
4496 : ffff;
4497 : ce79;
4498 : 2965;
4499 : 0000;
4500 : 0000;
4501 : 0000;
4502 : 0000;
4503 : 0000;
4504 : 0000;
4505 : 0000;
4506 : 0000;
4507 : 9cd3;
4508 : ffff;
4509 : ffdf;
4510 : ffff;
4511 : ffff;
4512 : ffff;
4513 : ffff;
4514 : ffff;
4515 : ffff;
4516 : ffff;
4517 : ffff;
4518 : ffff;
4519 : ffff;
4520 : ffff;
4521 : ffff;
4522 : ffff;
4523 : ffff;
4524 : ffff;
4525 : ffff;
4526 : ffff;
4527 : ffff;
4528 : ffff;
4529 : ffff;
4530 : ffff;
4531 : ffff;
4532 : ffff;
4533 : ffff;
4534 : ffff;
4535 : ffff;
4536 : ffff;
4537 : ffff;
4538 : ffff;
4539 : ffff;
4540 : ffff;
4541 : ffff;
4542 : ffff;
4543 : ffff;
4544 : ffff;
4545 : ffff;
4546 : f79e;
4547 : 52aa;
4548 : 0000;
4549 : 0020;
4550 : 0000;
4551 : 0000;
4552 : 0000;
4553 : 0000;
4554 : 0000;
4555 : 0000;
4556 : 0000;
4557 : 0000;
4558 : 0000;
4559 : 0000;
4560 : 0000;
4561 : 0000;
4562 : 0000;
4563 : 0000;
4564 : 4a29;
4565 : ce59;
4566 : ffff;
4567 : ffff;
4568 : ffff;
4569 : ffde;
4570 : e6b5;
4571 : cce8;
4572 : d402;
4573 : dc80;
4574 : e563;
4575 : fe26;
4576 : fea8;
4577 : fe87;
4578 : f646;
4579 : f647;
4580 : f647;
4581 : fe68;
4582 : fe87;
4583 : fec7;
4584 : f665;
4585 : ed82;
4586 : dca0;
4587 : cc41;
4588 : ccc8;
4589 : e672;
4590 : ffbc;
4591 : ffff;
4592 : f7df;
4593 : ffff;
4594 : ffff;
4595 : ffff;
4596 : a534;
4597 : 18e3;
4598 : 0000;
4599 : 0000;
4600 : 0000;
4601 : 0000;
4602 : 0000;
4603 : 0000;
4604 : 0000;
4605 : 0000;
4606 : 0000;
4607 : 9cd3;
4608 : ffff;
4609 : ffff;
4610 : ffff;
4611 : ffff;
4612 : ffff;
4613 : ffff;
4614 : ffff;
4615 : ffff;
4616 : ffff;
4617 : ffff;
4618 : ffff;
4619 : ffff;
4620 : ffff;
4621 : ffff;
4622 : ffff;
4623 : ffff;
4624 : ffff;
4625 : ffff;
4626 : ffff;
4627 : ffff;
4628 : ffff;
4629 : ffff;
4630 : ffff;
4631 : ffff;
4632 : ffff;
4633 : ffff;
4634 : ffff;
4635 : ffff;
4636 : ffff;
4637 : ffff;
4638 : ffff;
4639 : ffff;
4640 : ffff;
4641 : ffff;
4642 : ffff;
4643 : ffff;
4644 : ffff;
4645 : ffff;
4646 : ffff;
4647 : defb;
4648 : 39c7;
4649 : 0000;
4650 : 0020;
4651 : 0000;
4652 : 0000;
4653 : 0000;
4654 : 0000;
4655 : 0000;
4656 : 0000;
4657 : 0000;
4658 : 0000;
4659 : 0000;
4660 : 0000;
4661 : 0000;
4662 : 0000;
4663 : 0000;
4664 : 0000;
4665 : 1081;
4666 : 8410;
4667 : f77e;
4668 : ffff;
4669 : ffff;
4670 : ffff;
4671 : f79c;
4672 : e654;
4673 : d54b;
4674 : cc85;
4675 : cc62;
4676 : d460;
4677 : dcc0;
4678 : e501;
4679 : ed22;
4680 : e562;
4681 : dd01;
4682 : dcc0;
4683 : dc80;
4684 : d442;
4685 : c464;
4686 : d54b;
4687 : eeb6;
4688 : f79c;
4689 : ffff;
4690 : ffff;
4691 : ffff;
4692 : ffff;
4693 : ffff;
4694 : c618;
4695 : 528a;
4696 : 0000;
4697 : 0000;
4698 : 0000;
4699 : 0000;
4700 : 0000;
4701 : 0000;
4702 : 0000;
4703 : 0000;
4704 : 0000;
4705 : 0000;
4706 : 0000;
4707 : 9cd3;
4708 : ffff;
4709 : ffff;
4710 : ffff;
4711 : ffff;
4712 : ffff;
4713 : ffff;
4714 : ffff;
4715 : ffff;
4716 : ffff;
4717 : ffff;
4718 : ffff;
4719 : ffff;
4720 : ffff;
4721 : ffff;
4722 : ffff;
4723 : ffff;
4724 : ffff;
4725 : ffff;
4726 : ffff;
4727 : ffff;
4728 : ffff;
4729 : ffff;
4730 : ffff;
4731 : ffff;
4732 : ffff;
4733 : ffff;
4734 : ffff;
4735 : ffff;
4736 : ffff;
4737 : ffff;
4738 : ffff;
4739 : ffff;
4740 : ffff;
4741 : ffff;
4742 : ffff;
4743 : ffff;
4744 : ffff;
4745 : ffff;
4746 : ffff;
4747 : ffff;
4748 : d69a;
4749 : 31a6;
4750 : 0000;
4751 : 0000;
4752 : 0000;
4753 : 0000;
4754 : 0000;
4755 : 0000;
4756 : 0000;
4757 : 0000;
4758 : 0000;
4759 : 0000;
4760 : 0000;
4761 : 0000;
4762 : 0000;
4763 : 0000;
4764 : 0000;
4765 : 0000;
4766 : 0000;
4767 : 3186;
4768 : ad54;
4769 : f7be;
4770 : ffff;
4771 : ffff;
4772 : ffff;
4773 : fffe;
4774 : f77b;
4775 : eed6;
4776 : ddef;
4777 : d56a;
4778 : dd29;
4779 : dcc8;
4780 : d4c8;
4781 : dd0a;
4782 : dd6c;
4783 : e5f1;
4784 : eeb6;
4785 : ef5a;
4786 : ffff;
4787 : ffff;
4788 : ffff;
4789 : ffff;
4790 : f7be;
4791 : d6ba;
4792 : a534;
4793 : 528a;
4794 : 0020;
4795 : 0000;
4796 : 0000;
4797 : 0000;
4798 : 0000;
4799 : 0000;
4800 : 0000;
4801 : 0000;
4802 : 0000;
4803 : 0000;
4804 : 0000;
4805 : 0020;
4806 : 0000;
4807 : 9cd3;
4808 : ffff;
4809 : ffff;
4810 : ffff;
4811 : ffff;
4812 : ffff;
4813 : ffff;
4814 : ffff;
4815 : ffff;
4816 : ffff;
4817 : ffff;
4818 : ffff;
4819 : ffff;
4820 : ffff;
4821 : ffff;
4822 : ffff;
4823 : ffff;
4824 : ffff;
4825 : ffff;
4826 : ffff;
4827 : ffff;
4828 : ffff;
4829 : ffff;
4830 : ffff;
4831 : ffff;
4832 : ffff;
4833 : ffff;
4834 : ffff;
4835 : ffff;
4836 : ffff;
4837 : ffff;
4838 : ffff;
4839 : ffff;
4840 : ffff;
4841 : ffff;
4842 : ffff;
4843 : ffff;
4844 : ffff;
4845 : ffff;
4846 : ffff;
4847 : ffdf;
4848 : ffff;
4849 : dedb;
4850 : 4208;
4851 : 0000;
4852 : 0000;
4853 : 0000;
4854 : 0000;
4855 : 0000;
4856 : 0000;
4857 : 0000;
4858 : 0000;
4859 : 0000;
4860 : 0000;
4861 : 0000;
4862 : 0000;
4863 : 0000;
4864 : 0000;
4865 : 0000;
4866 : 0000;
4867 : 0000;
4868 : 0000;
4869 : 39c6;
4870 : 94b2;
4871 : d6ba;
4872 : ffdf;
4873 : ffff;
4874 : ffff;
4875 : ffff;
4876 : ffff;
4877 : ffff;
4878 : fffe;
4879 : fffe;
4880 : fffe;
4881 : ffff;
4882 : ffff;
4883 : ffff;
4884 : ffff;
4885 : ffff;
4886 : f79e;
4887 : d699;
4888 : a513;
4889 : 6b4c;
4890 : 39c6;
4891 : 10a2;
4892 : 0000;
4893 : 0000;
4894 : 0000;
4895 : 0000;
4896 : 0000;
4897 : 0000;
4898 : 0000;
4899 : 0000;
4900 : 0000;
4901 : 0000;
4902 : 0000;
4903 : 0000;
4904 : 0000;
4905 : 0000;
4906 : 0000;
4907 : 94b2;
4908 : ffff;
4909 : ffff;
4910 : ffff;
4911 : ffff;
4912 : ffff;
4913 : ffff;
4914 : ffff;
4915 : ffff;
4916 : ffff;
4917 : ffff;
4918 : ffff;
4919 : ffff;
4920 : ffff;
4921 : ffff;
4922 : ffff;
4923 : ffff;
4924 : ffff;
4925 : ffff;
4926 : ffff;
4927 : ffff;
4928 : ffff;
4929 : ffff;
4930 : ffff;
4931 : ffff;
4932 : ffff;
4933 : ffff;
4934 : ffff;
4935 : ffff;
4936 : ffff;
4937 : ffff;
4938 : ffff;
4939 : ffff;
4940 : ffff;
4941 : ffff;
4942 : ffff;
4943 : ffff;
4944 : ffff;
4945 : ffff;
4946 : ffff;
4947 : ffff;
4948 : ffff;
4949 : ffff;
4950 : e73c;
4951 : 632c;
4952 : 0000;
4953 : 0000;
4954 : 0020;
4955 : 0000;
4956 : 0000;
4957 : 0000;
4958 : 0000;
4959 : 0000;
4960 : 0000;
4961 : 0000;
4962 : 0000;
4963 : 0000;
4964 : 0000;
4965 : 0000;
4966 : 0000;
4967 : 0020;
4968 : 0000;
4969 : 0000;
4970 : 0000;
4971 : 18c3;
4972 : 4a69;
4973 : 7bef;
4974 : ad54;
4975 : ce59;
4976 : e71b;
4977 : ef7d;
4978 : ef9e;
4979 : f79e;
4980 : ef7d;
4981 : df1c;
4982 : c678;
4983 : ad95;
4984 : 8c71;
4985 : 632c;
4986 : 39c7;
4987 : 10a2;
4988 : 0000;
4989 : 0000;
4990 : 0000;
4991 : 0000;
4992 : 0000;
4993 : 0020;
4994 : 0000;
4995 : 0000;
4996 : 0000;
4997 : 0000;
4998 : 0000;
4999 : 0000;
5000 : 39e7;
5001 : 39e7;
5002 : 39e7;
5003 : 39c7;
5004 : 39c7;
5005 : 39c7;
5006 : 31a6;
5007 : ad75;
5008 : ffff;
5009 : ffff;
5010 : ffff;
5011 : ffff;
5012 : ffff;
5013 : ffff;
5014 : ffff;
5015 : ffff;
5016 : ffff;
5017 : ffff;
5018 : ffff;
5019 : ffff;
5020 : ffff;
5021 : ffff;
5022 : ffff;
5023 : ffff;
5024 : ffff;
5025 : ffff;
5026 : ffff;
5027 : ffff;
5028 : ffff;
5029 : ffff;
5030 : ffff;
5031 : ffff;
5032 : ffff;
5033 : ffff;
5034 : ffff;
5035 : ffff;
5036 : ffff;
5037 : ffff;
5038 : ffff;
5039 : ffff;
5040 : ffff;
5041 : ffff;
5042 : ffff;
5043 : ffff;
5044 : ffff;
5045 : ffff;
5046 : ffff;
5047 : ffff;
5048 : ffff;
5049 : ffdf;
5050 : ffff;
5051 : f79e;
5052 : 94b2;
5053 : 18e3;
5054 : 0000;
5055 : 0000;
5056 : 0000;
5057 : 0000;
5058 : 0000;
5059 : 0000;
5060 : 0000;
5061 : 0000;
5062 : 0000;
5063 : 0000;
5064 : 0000;
5065 : 0000;
5066 : 0000;
5067 : 0000;
5068 : 0000;
5069 : 0000;
5070 : 0000;
5071 : 0000;
5072 : 0000;
5073 : 0000;
5074 : 0000;
5075 : 0000;
5076 : 1081;
5077 : 10a3;
5078 : 18e3;
5079 : 18e3;
5080 : 18c3;
5081 : 0861;
5082 : 0020;
5083 : 0000;
5084 : 0000;
5085 : 0000;
5086 : 0000;
5087 : 0000;
5088 : 0000;
5089 : 0000;
5090 : 0000;
5091 : 0000;
5092 : 0000;
5093 : 0000;
5094 : 0000;
5095 : 0000;
5096 : 0000;
5097 : 0000;
5098 : 0000;
5099 : 0000;
5100 : f7be;
5101 : f7be;
5102 : f7be;
5103 : f79e;
5104 : f79e;
5105 : f79e;
5106 : f79e;
5107 : f7be;
5108 : ffff;
5109 : ffff;
5110 : ffff;
5111 : ffff;
5112 : ffff;
5113 : ffff;
5114 : ffff;
5115 : ffff;
5116 : ffff;
5117 : ffff;
5118 : ffff;
5119 : ffff;
5120 : ffff;
5121 : ffff;
5122 : ffff;
5123 : ffff;
5124 : ffff;
5125 : ffff;
5126 : ffff;
5127 : ffff;
5128 : ffff;
5129 : ffff;
5130 : ffff;
5131 : ffff;
5132 : ffff;
5133 : ffff;
5134 : ffff;
5135 : ffff;
5136 : ffff;
5137 : ffff;
5138 : ffff;
5139 : ffff;
5140 : ffff;
5141 : ffff;
5142 : ffff;
5143 : ffff;
5144 : ffff;
5145 : ffff;
5146 : ffff;
5147 : ffff;
5148 : ffff;
5149 : ffff;
5150 : ffff;
5151 : ffff;
5152 : ffff;
5153 : ce79;
5154 : 632c;
5155 : 39c7;
5156 : 39c7;
5157 : 39c7;
5158 : 39c7;
5159 : 39c7;
5160 : 39c7;
5161 : 39c7;
5162 : 39c7;
5163 : 39c7;
5164 : 39c7;
5165 : 39c7;
5166 : 39c7;
5167 : 39c7;
5168 : 39c7;
5169 : 39c7;
5170 : 39c7;
5171 : 39c7;
5172 : 39e7;
5173 : 39c7;
5174 : 39c6;
5175 : 39c7;
5176 : 31a6;
5177 : 3186;
5178 : 31a6;
5179 : 31a6;
5180 : 3186;
5181 : 31a6;
5182 : 31a6;
5183 : 39c7;
5184 : 39c7;
5185 : 39e7;
5186 : 39e7;
5187 : 39c7;
5188 : 39c7;
5189 : 39c7;
5190 : 39c7;
5191 : 39c7;
5192 : 39c7;
5193 : 39c7;
5194 : 39c7;
5195 : 39e7;
5196 : 39e7;
5197 : 39c7;
5198 : 39e7;
5199 : 39e7;
5200 : ffff;
5201 : ffff;
5202 : ffff;
5203 : ffff;
5204 : ffff;
5205 : ffff;
5206 : ffff;
5207 : ffff;
5208 : ffff;
5209 : ffff;
5210 : ffff;
5211 : ffff;
5212 : ffff;
5213 : ffff;
5214 : ffff;
5215 : ffff;
5216 : ffff;
5217 : ffff;
5218 : ffff;
5219 : ffff;
5220 : ffff;
5221 : ffff;
5222 : ffff;
5223 : ffff;
5224 : ffff;
5225 : ffff;
5226 : ffff;
5227 : ffff;
5228 : ffff;
5229 : ffff;
5230 : ffff;
5231 : ffff;
5232 : ffff;
5233 : ffff;
5234 : ffff;
5235 : ffff;
5236 : ffff;
5237 : ffff;
5238 : ffff;
5239 : ffff;
5240 : ffff;
5241 : ffff;
5242 : ffff;
5243 : ffff;
5244 : ffff;
5245 : ffff;
5246 : ffff;
5247 : ffff;
5248 : ffff;
5249 : ffff;
5250 : ffff;
5251 : ffff;
5252 : ffdf;
5253 : ffff;
5254 : ffff;
5255 : ef7d;
5256 : f79e;
5257 : f79e;
5258 : f79e;
5259 : f79e;
5260 : f79e;
5261 : f79e;
5262 : f79e;
5263 : f79e;
5264 : f79e;
5265 : f79e;
5266 : f79e;
5267 : f79e;
5268 : f79e;
5269 : f79e;
5270 : f79e;
5271 : f79e;
5272 : f79e;
5273 : f79e;
5274 : f79e;
5275 : f79e;
5276 : f79e;
5277 : f79e;
5278 : f79e;
5279 : f79e;
5280 : f79e;
5281 : f79e;
5282 : f79e;
5283 : f79e;
5284 : ef7d;
5285 : f79e;
5286 : f79e;
5287 : f79e;
5288 : f79e;
5289 : f7be;
5290 : f79e;
5291 : f79e;
5292 : f79e;
5293 : f79e;
5294 : f79e;
5295 : f7be;
5296 : f7be;
5297 : f7be;
5298 : f7be;
5299 : f7be;
5300 : ffff;
5301 : ffff;
5302 : ffff;
5303 : ffff;
5304 : ffff;
5305 : ffff;
5306 : ffff;
5307 : ffff;
5308 : ffff;
5309 : ffff;
5310 : ffff;
5311 : ffff;
5312 : ffff;
5313 : ffff;
5314 : ffff;
5315 : ffff;
5316 : ffff;
5317 : ffff;
5318 : ffff;
5319 : ffff;
5320 : ffff;
5321 : ffff;
5322 : ffff;
5323 : ffff;
5324 : ffff;
5325 : ffff;
5326 : ffff;
5327 : ffff;
5328 : ffff;
5329 : ffff;
5330 : ffff;
5331 : ffff;
5332 : ffff;
5333 : ffff;
5334 : ffff;
5335 : ffff;
5336 : ffff;
5337 : ffff;
5338 : ffff;
5339 : ffff;
5340 : ffff;
5341 : ffff;
5342 : ffff;
5343 : ffff;
5344 : ffff;
5345 : ffff;
5346 : ffff;
5347 : ffff;
5348 : ffff;
5349 : ffff;
5350 : ffff;
5351 : ffff;
5352 : ffff;
5353 : ffff;
5354 : ffff;
5355 : ffff;
5356 : ffff;
5357 : ffff;
5358 : ffff;
5359 : ffff;
5360 : ffff;
5361 : ffff;
5362 : ffff;
5363 : ffff;
5364 : ffff;
5365 : ffff;
5366 : ffff;
5367 : ffff;
5368 : ffff;
5369 : ffff;
5370 : ffff;
5371 : ffff;
5372 : ffff;
5373 : ffff;
5374 : ffff;
5375 : ffff;
5376 : ffff;
5377 : ffff;
5378 : ffff;
5379 : ffff;
5380 : ffff;
5381 : ffff;
5382 : ffff;
5383 : ffff;
5384 : ffff;
5385 : ffff;
5386 : ffff;
5387 : ffff;
5388 : ffff;
5389 : ffff;
5390 : ffff;
5391 : ffff;
5392 : ffff;
5393 : ffff;
5394 : ffff;
5395 : ffff;
5396 : ffff;
5397 : ffff;
5398 : ffff;
5399 : ffff;
5400 : ffff;
5401 : ffff;
5402 : ffff;
5403 : ffff;
5404 : ffff;
5405 : ffff;
5406 : ffff;
5407 : ffff;
5408 : ffff;
5409 : ffff;
5410 : ffff;
5411 : ffff;
5412 : ffff;
5413 : ffff;
5414 : ffff;
5415 : ffff;
5416 : ffff;
5417 : ffff;
5418 : ffff;
5419 : ffff;
5420 : ffff;
5421 : ffff;
5422 : ffff;
5423 : ffff;
5424 : ffff;
5425 : ffff;
5426 : ffff;
5427 : ffff;
5428 : ffff;
5429 : ffff;
5430 : ffff;
5431 : ffff;
5432 : ffff;
5433 : ffff;
5434 : ffff;
5435 : ffff;
5436 : ffff;
5437 : ffff;
5438 : ffff;
5439 : ffff;
5440 : ffff;
5441 : ffff;
5442 : ffff;
5443 : ffff;
5444 : ffff;
5445 : ffff;
5446 : ffff;
5447 : ffff;
5448 : ffff;
5449 : ffff;
5450 : ffff;
5451 : ffff;
5452 : ffff;
5453 : ffff;
5454 : ffff;
5455 : ffff;
5456 : ffff;
5457 : ffff;
5458 : ffff;
5459 : ffff;
5460 : ffff;
5461 : ffff;
5462 : ffff;
5463 : ffff;
5464 : ffff;
5465 : ffff;
5466 : ffff;
5467 : ffff;
5468 : ffff;
5469 : ffff;
5470 : ffff;
5471 : ffff;
5472 : ffff;
5473 : ffff;
5474 : ffff;
5475 : ffff;
5476 : ffff;
5477 : ffff;
5478 : ffff;
5479 : ffff;
5480 : ffff;
5481 : ffff;
5482 : ffff;
5483 : ffff;
5484 : ffff;
5485 : ffff;
5486 : ffff;
5487 : ffff;
5488 : ffff;
5489 : ffff;
5490 : ffff;
5491 : ffff;
5492 : ffff;
5493 : ffff;
5494 : ffff;
5495 : ffff;
5496 : ffff;
5497 : ffff;
5498 : ffff;
5499 : ffff;
5500 : ffff;
5501 : ffff;
5502 : ffff;
5503 : ffff;
5504 : ffff;
5505 : ffff;
5506 : ffff;
5507 : ffff;
5508 : ffff;
5509 : ffff;
5510 : ffff;
5511 : ffff;
5512 : ffff;
5513 : ffff;
5514 : ffff;
5515 : ffff;
5516 : ffff;
5517 : ffff;
5518 : ffff;
5519 : ffff;
5520 : ffff;
5521 : ffff;
5522 : ffff;
5523 : ffff;
5524 : ffff;
5525 : ffff;
5526 : ffff;
5527 : ffff;
5528 : ffff;
5529 : ffff;
5530 : ffff;
5531 : ffff;
5532 : ffff;
5533 : ffff;
5534 : ffff;
5535 : ffff;
5536 : ffff;
5537 : ffff;
5538 : ffff;
5539 : ffff;
5540 : ffff;
5541 : ffff;
5542 : ffff;
5543 : ffff;
5544 : ffff;
5545 : ffff;
5546 : ffff;
5547 : ffff;
5548 : ffff;
5549 : ffff;
5550 : ffff;
5551 : ffff;
5552 : ffff;
5553 : ffff;
5554 : ffff;
5555 : ffff;
5556 : ffff;
5557 : ffff;
5558 : ffff;
5559 : ffff;
5560 : ffff;
5561 : ffff;
5562 : ffff;
5563 : ffff;
5564 : ffff;
5565 : ffff;
5566 : ffff;
5567 : ffff;
5568 : ffdf;
5569 : ffff;
5570 : ffff;
5571 : ffff;
5572 : ffff;
5573 : ffff;
5574 : ffff;
5575 : ffff;
5576 : ffff;
5577 : ffff;
5578 : ffff;
5579 : ffff;
5580 : ffff;
5581 : ffff;
5582 : ffdf;
5583 : ffdf;
5584 : ffff;
5585 : ffff;
5586 : ffff;
5587 : ffff;
5588 : ffff;
5589 : ffff;
5590 : ffff;
5591 : ffff;
5592 : ffff;
5593 : ffff;
5594 : ffff;
5595 : ffff;
5596 : ffff;
5597 : ffff;
5598 : ffff;
5599 : ffff;
5600 : ffff;
5601 : ffff;
5602 : ffff;
5603 : ffff;
5604 : ffff;
5605 : ffff;
5606 : ffff;
5607 : ffff;
5608 : ffff;
5609 : ffff;
5610 : ffff;
5611 : ffff;
5612 : ffff;
5613 : ffff;
5614 : ffff;
5615 : ffff;
5616 : ffff;
5617 : ffff;
5618 : ffff;
5619 : ffff;
5620 : ffff;
5621 : ffff;
5622 : ffff;
5623 : ffff;
5624 : ffff;
5625 : ffff;
5626 : ffff;
5627 : ffff;
5628 : ffff;
5629 : ffff;
5630 : ffff;
5631 : ffff;
5632 : ffff;
5633 : ffff;
5634 : ffff;
5635 : ffff;
5636 : ffff;
5637 : ffff;
5638 : ffff;
5639 : ffff;
5640 : ffff;
5641 : ffff;
5642 : ffff;
5643 : ffff;
5644 : ffff;
5645 : ffff;
5646 : ffff;
5647 : ffff;
5648 : ffff;
5649 : ffff;
5650 : ffff;
5651 : ffff;
5652 : ffff;
5653 : ffff;
5654 : ffff;
5655 : ffff;
5656 : defb;
5657 : ffdf;
5658 : ffff;
5659 : ffff;
5660 : ffff;
5661 : ffff;
5662 : ffff;
5663 : ffff;
5664 : ffff;
5665 : ffdf;
5666 : e73c;
5667 : ffff;
5668 : ffff;
5669 : ffff;
5670 : ffff;
5671 : ffff;
5672 : ffff;
5673 : ffff;
5674 : ffff;
5675 : ffff;
5676 : e71c;
5677 : e73c;
5678 : ffff;
5679 : ffff;
5680 : ffff;
5681 : ffff;
5682 : ffff;
5683 : ffff;
5684 : ffff;
5685 : ffff;
5686 : ffff;
5687 : ffff;
5688 : ffdf;
5689 : ef7d;
5690 : ffff;
5691 : ffff;
5692 : f7be;
5693 : e73c;
5694 : ffdf;
5695 : ffff;
5696 : ffff;
5697 : ffff;
5698 : ffff;
5699 : ffff;
5700 : ffff;
5701 : ffff;
5702 : ffff;
5703 : ffff;
5704 : ffff;
5705 : ffff;
5706 : ffff;
5707 : ffff;
5708 : ffff;
5709 : ffff;
5710 : ffff;
5711 : ffff;
5712 : ffff;
5713 : ffff;
5714 : ffff;
5715 : ffff;
5716 : ffff;
5717 : ffff;
5718 : ffff;
5719 : ffff;
5720 : ffff;
5721 : ffff;
5722 : ffff;
5723 : ffff;
5724 : ffff;
5725 : ffff;
5726 : ffff;
5727 : ffff;
5728 : ffff;
5729 : ffff;
5730 : ffff;
5731 : ffff;
5732 : ffff;
5733 : ffff;
5734 : ffff;
5735 : ffff;
5736 : ffff;
5737 : ffff;
5738 : ffff;
5739 : ffff;
5740 : ffff;
5741 : ffff;
5742 : ffff;
5743 : ffff;
5744 : ffff;
5745 : ffff;
5746 : ffff;
5747 : ffff;
5748 : ffff;
5749 : ffff;
5750 : ffff;
5751 : ffff;
5752 : ffff;
5753 : ffdf;
5754 : ffdf;
5755 : e73c;
5756 : 4208;
5757 : e71c;
5758 : ffdf;
5759 : ffff;
5760 : d6ba;
5761 : bdd7;
5762 : ffff;
5763 : f79e;
5764 : c618;
5765 : e71c;
5766 : 6b4d;
5767 : b596;
5768 : 7bcf;
5769 : 7bef;
5770 : 7bef;
5771 : 7bef;
5772 : 73ae;
5773 : 9cf3;
5774 : ffdf;
5775 : ffff;
5776 : 7bcf;
5777 : a534;
5778 : ffff;
5779 : e73c;
5780 : 7bef;
5781 : 7bef;
5782 : 7bef;
5783 : 8430;
5784 : 9492;
5785 : f79e;
5786 : ffdf;
5787 : ef7d;
5788 : ce79;
5789 : 73ae;
5790 : ffff;
5791 : ffff;
5792 : e73c;
5793 : 738e;
5794 : ffff;
5795 : ffff;
5796 : ffff;
5797 : ffff;
5798 : ffff;
5799 : ffff;
5800 : ffff;
5801 : ffff;
5802 : ffff;
5803 : ffff;
5804 : ffff;
5805 : ffff;
5806 : ffff;
5807 : ffff;
5808 : ffff;
5809 : ffff;
5810 : ffff;
5811 : ffff;
5812 : ffff;
5813 : ffff;
5814 : ffff;
5815 : ffff;
5816 : ffff;
5817 : ffff;
5818 : ffff;
5819 : ffff;
5820 : ffff;
5821 : ffff;
5822 : ffff;
5823 : ffff;
5824 : ffff;
5825 : ffff;
5826 : ffff;
5827 : ffff;
5828 : ffff;
5829 : ffff;
5830 : ffff;
5831 : ffff;
5832 : ffff;
5833 : ffff;
5834 : ffff;
5835 : ffff;
5836 : ffff;
5837 : ffff;
5838 : ffff;
5839 : ffff;
5840 : ffff;
5841 : ffff;
5842 : ffff;
5843 : ffff;
5844 : ffff;
5845 : ffff;
5846 : ffff;
5847 : ffff;
5848 : ffff;
5849 : ffff;
5850 : ffff;
5851 : ffff;
5852 : ffff;
5853 : ad55;
5854 : 52aa;
5855 : 5acb;
5856 : 0861;
5857 : 52aa;
5858 : 630c;
5859 : ad75;
5860 : 4208;
5861 : 9492;
5862 : ffff;
5863 : e71c;
5864 : 4a69;
5865 : dedb;
5866 : 5acb;
5867 : c618;
5868 : b596;
5869 : ad75;
5870 : 2965;
5871 : 9cd3;
5872 : b5b6;
5873 : bdf7;
5874 : ffdf;
5875 : ce59;
5876 : 4a49;
5877 : 8430;
5878 : d69a;
5879 : dedb;
5880 : 8c51;
5881 : 8410;
5882 : 8c71;
5883 : 18e3;
5884 : 4208;
5885 : ffff;
5886 : d6ba;
5887 : 73ae;
5888 : c638;
5889 : 6b4d;
5890 : e71c;
5891 : 94b2;
5892 : 7bcf;
5893 : 31a6;
5894 : 8c71;
5895 : a514;
5896 : f7be;
5897 : ffff;
5898 : ffff;
5899 : ffff;
5900 : ffff;
5901 : ffff;
5902 : ffff;
5903 : ffff;
5904 : ffff;
5905 : ffff;
5906 : ffff;
5907 : ffff;
5908 : ffff;
5909 : ffff;
5910 : ffff;
5911 : ffff;
5912 : ffff;
5913 : ffff;
5914 : ffff;
5915 : ffff;
5916 : ffff;
5917 : ffff;
5918 : ffff;
5919 : ffff;
5920 : ffff;
5921 : ffff;
5922 : ffff;
5923 : ffff;
5924 : ffff;
5925 : ffff;
5926 : ffff;
5927 : ffff;
5928 : ffff;
5929 : ffff;
5930 : ffff;
5931 : ffff;
5932 : ffff;
5933 : ffff;
5934 : ffff;
5935 : ffff;
5936 : ffff;
5937 : ffff;
5938 : ffff;
5939 : ffff;
5940 : ffff;
5941 : ffff;
5942 : ffff;
5943 : ffff;
5944 : ffff;
5945 : ffff;
5946 : ffff;
5947 : ffff;
5948 : ffff;
5949 : ffff;
5950 : ffff;
5951 : ffff;
5952 : ffff;
5953 : ffdf;
5954 : ef7d;
5955 : dedb;
5956 : 3186;
5957 : dedb;
5958 : defb;
5959 : 4a49;
5960 : 8410;
5961 : ffff;
5962 : ffff;
5963 : e71c;
5964 : 528a;
5965 : dedb;
5966 : 5acb;
5967 : ef5d;
5968 : c618;
5969 : a514;
5970 : 31a6;
5971 : 94b2;
5972 : b5b6;
5973 : ef5d;
5974 : ffdf;
5975 : 7bef;
5976 : 18c3;
5977 : 6b4d;
5978 : 39c7;
5979 : c618;
5980 : ffff;
5981 : ffff;
5982 : 9492;
5983 : 632c;
5984 : f79e;
5985 : ffff;
5986 : d6ba;
5987 : 6b6d;
5988 : ce59;
5989 : 7bcf;
5990 : 9cf3;
5991 : 39c7;
5992 : 73ae;
5993 : 3186;
5994 : 7bcf;
5995 : 31a6;
5996 : e71c;
5997 : ffff;
5998 : ffff;
5999 : ffff;
6000 : ffff;
6001 : ffff;
6002 : ffff;
6003 : ffff;
6004 : ffff;
6005 : ffff;
6006 : ffff;
6007 : ffff;
6008 : ffff;
6009 : ffff;
6010 : ffff;
6011 : ffff;
6012 : ffff;
6013 : ffff;
6014 : ffff;
6015 : ffff;
6016 : ffff;
6017 : ffff;
6018 : ffff;
6019 : ffff;
6020 : ffff;
6021 : ffff;
6022 : ffff;
6023 : ffff;
6024 : ffff;
6025 : ffff;
6026 : ffff;
6027 : ffff;
6028 : ffff;
6029 : ffff;
6030 : ffff;
6031 : ffff;
6032 : ffff;
6033 : ffff;
6034 : ffff;
6035 : ffff;
6036 : ffff;
6037 : ffff;
6038 : ffff;
6039 : ffff;
6040 : ffff;
6041 : ffff;
6042 : ffff;
6043 : ffff;
6044 : ffff;
6045 : ffff;
6046 : ffff;
6047 : ffff;
6048 : ffff;
6049 : ffff;
6050 : ffff;
6051 : ffff;
6052 : a534;
6053 : 7bcf;
6054 : 8430;
6055 : 7bef;
6056 : 2965;
6057 : 7bcf;
6058 : 4208;
6059 : 10a2;
6060 : 8410;
6061 : 7bcf;
6062 : ce79;
6063 : ef5d;
6064 : 4a69;
6065 : dedb;
6066 : 5aeb;
6067 : ce79;
6068 : 39c7;
6069 : 738e;
6070 : 2124;
6071 : 738e;
6072 : 31a6;
6073 : 94b2;
6074 : ffff;
6075 : e71c;
6076 : 4228;
6077 : f7be;
6078 : 5aeb;
6079 : d69a;
6080 : ffff;
6081 : ce79;
6082 : 3186;
6083 : f7be;
6084 : ffff;
6085 : ffff;
6086 : d6ba;
6087 : 6b6d;
6088 : ce59;
6089 : 7bef;
6090 : 9cd3;
6091 : 9492;
6092 : f7be;
6093 : 6b4d;
6094 : ffff;
6095 : 6b4d;
6096 : dedb;
6097 : ffff;
6098 : ffff;
6099 : ffff;
6100 : ffff;
6101 : ffff;
6102 : ffff;
6103 : ffff;
6104 : ffff;
6105 : ffff;
6106 : ffff;
6107 : ffff;
6108 : ffff;
6109 : ffff;
6110 : ffff;
6111 : ffff;
6112 : ffff;
6113 : ffff;
6114 : ffff;
6115 : ffff;
6116 : ffff;
6117 : ffff;
6118 : ffff;
6119 : ffff;
6120 : ffff;
6121 : ffff;
6122 : ffff;
6123 : ffff;
6124 : ffff;
6125 : ffff;
6126 : ffff;
6127 : ffff;
6128 : ffff;
6129 : ffff;
6130 : ffff;
6131 : ffff;
6132 : ffff;
6133 : ffff;
6134 : ffff;
6135 : ffff;
6136 : ffff;
6137 : ffff;
6138 : ffff;
6139 : ffff;
6140 : ffff;
6141 : ffff;
6142 : ffff;
6143 : ffff;
6144 : ffff;
6145 : ffff;
6146 : ffff;
6147 : ffff;
6148 : ffff;
6149 : ffff;
6150 : ffff;
6151 : ffff;
6152 : ce59;
6153 : b596;
6154 : bdf7;
6155 : b596;
6156 : 52aa;
6157 : 0020;
6158 : 8c71;
6159 : d6ba;
6160 : b5b6;
6161 : ad75;
6162 : e73c;
6163 : ef5d;
6164 : 528a;
6165 : dedb;
6166 : 5aeb;
6167 : ce59;
6168 : 632c;
6169 : ffff;
6170 : 52aa;
6171 : ffdf;
6172 : 8430;
6173 : 9492;
6174 : ffff;
6175 : ad55;
6176 : 7bcf;
6177 : f79e;
6178 : 52aa;
6179 : 9cf3;
6180 : 6b6d;
6181 : 4a69;
6182 : 2104;
6183 : 6b6d;
6184 : 632c;
6185 : ce79;
6186 : defb;
6187 : 6b6d;
6188 : c638;
6189 : 7bef;
6190 : 9cd3;
6191 : 8430;
6192 : e73c;
6193 : 630c;
6194 : ffdf;
6195 : 630c;
6196 : defb;
6197 : ffff;
6198 : ffff;
6199 : ffff;
6200 : ffff;
6201 : ffff;
6202 : ffff;
6203 : ffff;
6204 : ffff;
6205 : ffff;
6206 : ffdf;
6207 : ffff;
6208 : ffff;
6209 : ffff;
6210 : ffff;
6211 : ffff;
6212 : ffff;
6213 : ffff;
6214 : ffff;
6215 : ffff;
6216 : ffff;
6217 : ffff;
6218 : ffff;
6219 : ffff;
6220 : ffff;
6221 : ffff;
6222 : ffff;
6223 : ffff;
6224 : ffff;
6225 : ffff;
6226 : ffff;
6227 : ffff;
6228 : ffff;
6229 : ffff;
6230 : ffff;
6231 : ffff;
6232 : ffff;
6233 : ffff;
6234 : ffff;
6235 : ffff;
6236 : ffff;
6237 : ffff;
6238 : ffff;
6239 : ffff;
6240 : ffff;
6241 : ffff;
6242 : ffff;
6243 : ffff;
6244 : ffff;
6245 : ffdf;
6246 : ffff;
6247 : ffff;
6248 : ffff;
6249 : ffff;
6250 : ffff;
6251 : ffff;
6252 : ffff;
6253 : ffff;
6254 : bdd7;
6255 : 5aeb;
6256 : 738e;
6257 : e73c;
6258 : f79e;
6259 : 9cf3;
6260 : 8c51;
6261 : ffff;
6262 : ffff;
6263 : e71c;
6264 : 528a;
6265 : d69a;
6266 : 5acb;
6267 : ce79;
6268 : 630c;
6269 : f7be;
6270 : 528a;
6271 : ef5d;
6272 : 7bcf;
6273 : 9492;
6274 : ffff;
6275 : 7bef;
6276 : 630c;
6277 : b596;
6278 : 630c;
6279 : defb;
6280 : c618;
6281 : 94b2;
6282 : 39e7;
6283 : bdd7;
6284 : bdd7;
6285 : ef5d;
6286 : d6ba;
6287 : 6b6d;
6288 : bdf7;
6289 : 8430;
6290 : 9cf3;
6291 : 8430;
6292 : e73c;
6293 : 630c;
6294 : ffff;
6295 : 630c;
6296 : defb;
6297 : ffff;
6298 : ffff;
6299 : ffff;
6300 : ffff;
6301 : ffff;
6302 : ffff;
6303 : ffff;
6304 : ffff;
6305 : ffff;
6306 : ffff;
6307 : ffff;
6308 : ffff;
6309 : ffff;
6310 : ffff;
6311 : ffff;
6312 : ffff;
6313 : ffff;
6314 : ffff;
6315 : ffff;
6316 : ffff;
6317 : ffff;
6318 : ffff;
6319 : ffff;
6320 : ffff;
6321 : ffff;
6322 : ffff;
6323 : ffff;
6324 : ffff;
6325 : ffff;
6326 : ffff;
6327 : ffff;
6328 : ffff;
6329 : ffff;
6330 : ffff;
6331 : ffff;
6332 : ffff;
6333 : ffff;
6334 : ffff;
6335 : ffff;
6336 : ffff;
6337 : ffff;
6338 : ffff;
6339 : ffff;
6340 : ffff;
6341 : ffff;
6342 : ffff;
6343 : ffff;
6344 : ffff;
6345 : ffff;
6346 : ffff;
6347 : ffff;
6348 : ffff;
6349 : ffff;
6350 : ffff;
6351 : ffff;
6352 : 9492;
6353 : 4a49;
6354 : 18c3;
6355 : 39e7;
6356 : a534;
6357 : 6b6d;
6358 : 4a49;
6359 : 6b4d;
6360 : ad75;
6361 : f79e;
6362 : ffff;
6363 : e71c;
6364 : 5aeb;
6365 : bdf7;
6366 : 6b4d;
6367 : defb;
6368 : 5aeb;
6369 : f7be;
6370 : 528a;
6371 : ef7d;
6372 : 7bef;
6373 : 8c71;
6374 : ffff;
6375 : e71c;
6376 : 6b4d;
6377 : 0841;
6378 : 9cf3;
6379 : ffff;
6380 : ffff;
6381 : ce79;
6382 : 52aa;
6383 : ffff;
6384 : ffff;
6385 : ffff;
6386 : d6ba;
6387 : 73ae;
6388 : a534;
6389 : 8c71;
6390 : a534;
6391 : 8410;
6392 : e73c;
6393 : 630c;
6394 : ffff;
6395 : 5aeb;
6396 : dedb;
6397 : ffff;
6398 : ffff;
6399 : ffff;
6400 : ffff;
6401 : ffff;
6402 : ffff;
6403 : ffff;
6404 : ffff;
6405 : ffff;
6406 : ffff;
6407 : ffff;
6408 : ffff;
6409 : ffff;
6410 : ffff;
6411 : ffff;
6412 : ffff;
6413 : ffff;
6414 : ffff;
6415 : ffff;
6416 : ffff;
6417 : ffff;
6418 : ffff;
6419 : ffff;
6420 : ffff;
6421 : ffff;
6422 : ffff;
6423 : ffff;
6424 : ffff;
6425 : ffff;
6426 : ffff;
6427 : ffff;
6428 : ffff;
6429 : ffff;
6430 : ffff;
6431 : ffff;
6432 : ffff;
6433 : ffff;
6434 : ffff;
6435 : ffff;
6436 : ffff;
6437 : ffff;
6438 : ffff;
6439 : ffff;
6440 : ffff;
6441 : ffff;
6442 : ffff;
6443 : ffff;
6444 : ffff;
6445 : ffff;
6446 : ffff;
6447 : ffff;
6448 : ffff;
6449 : ffff;
6450 : ffff;
6451 : ffdf;
6452 : a534;
6453 : d6ba;
6454 : 8430;
6455 : 2965;
6456 : 8c71;
6457 : bdf7;
6458 : f79e;
6459 : ffff;
6460 : 94b2;
6461 : 8410;
6462 : ffff;
6463 : f7be;
6464 : ef7d;
6465 : 738e;
6466 : 9cd3;
6467 : ef5d;
6468 : 630c;
6469 : f7be;
6470 : 5aeb;
6471 : 94b2;
6472 : 39c7;
6473 : b5b6;
6474 : ffff;
6475 : ffff;
6476 : 8c51;
6477 : 2104;
6478 : 4228;
6479 : bdf7;
6480 : ffff;
6481 : d69a;
6482 : 4a69;
6483 : ffdf;
6484 : ffff;
6485 : ffff;
6486 : f79e;
6487 : ef7d;
6488 : 5aeb;
6489 : b5b6;
6490 : a514;
6491 : 8c51;
6492 : ef5d;
6493 : 5aeb;
6494 : 8410;
6495 : 4208;
6496 : ef5d;
6497 : ffff;
6498 : ffff;
6499 : ffff;
6500 : ffff;
6501 : ffff;
6502 : ffff;
6503 : ffff;
6504 : ffff;
6505 : ffff;
6506 : ffff;
6507 : ffff;
6508 : ffff;
6509 : ffff;
6510 : ffff;
6511 : ffff;
6512 : ffff;
6513 : ffff;
6514 : ffff;
6515 : ffff;
6516 : ffff;
6517 : ffff;
6518 : ffff;
6519 : ffff;
6520 : ffff;
6521 : ffff;
6522 : ffff;
6523 : ffff;
6524 : ffff;
6525 : ffff;
6526 : ffff;
6527 : ffff;
6528 : ffff;
6529 : ffff;
6530 : ffff;
6531 : ffff;
6532 : ffff;
6533 : ffff;
6534 : ffff;
6535 : ffff;
6536 : ffff;
6537 : ffff;
6538 : ffff;
6539 : ffff;
6540 : ffff;
6541 : ffff;
6542 : ffff;
6543 : ffff;
6544 : ffff;
6545 : ffff;
6546 : ffff;
6547 : ffff;
6548 : ffff;
6549 : ffff;
6550 : ffff;
6551 : ffff;
6552 : ffff;
6553 : ffff;
6554 : 9cd3;
6555 : 39c7;
6556 : c638;
6557 : ce59;
6558 : c618;
6559 : bdd7;
6560 : 31a6;
6561 : 8c51;
6562 : ffff;
6563 : ffff;
6564 : 8c71;
6565 : 4a69;
6566 : f79e;
6567 : ffdf;
6568 : e71c;
6569 : f7be;
6570 : 4a69;
6571 : bdd7;
6572 : d69a;
6573 : ffdf;
6574 : ffff;
6575 : 73ae;
6576 : 4208;
6577 : ef7d;
6578 : ad55;
6579 : 9cf3;
6580 : bdd7;
6581 : 630c;
6582 : 52aa;
6583 : ffff;
6584 : ffff;
6585 : ffff;
6586 : ffff;
6587 : 8c51;
6588 : 52aa;
6589 : ffff;
6590 : e73c;
6591 : ef7d;
6592 : dedb;
6593 : 5acb;
6594 : d69a;
6595 : defb;
6596 : ffff;
6597 : ffff;
6598 : ffff;
6599 : ffff;
6600 : ffff;
6601 : ffff;
6602 : ffff;
6603 : ffff;
6604 : ffff;
6605 : ffff;
6606 : ffff;
6607 : ffff;
6608 : ffff;
6609 : ffff;
6610 : ffff;
6611 : ffff;
6612 : ffff;
6613 : ffff;
6614 : ffff;
6615 : ffff;
6616 : ffff;
6617 : ffff;
6618 : ffff;
6619 : ffff;
6620 : ffff;
6621 : ffff;
6622 : ffff;
6623 : ffff;
6624 : ffff;
6625 : ffff;
6626 : ffff;
6627 : ffff;
6628 : ffff;
6629 : ffff;
6630 : ffff;
6631 : ffff;
6632 : ffff;
6633 : ffff;
6634 : ffff;
6635 : ffff;
6636 : ffff;
6637 : ffff;
6638 : ffff;
6639 : ffff;
6640 : ffff;
6641 : ffff;
6642 : ffff;
6643 : ffff;
6644 : ffff;
6645 : ffff;
6646 : ffff;
6647 : ffff;
6648 : ffff;
6649 : ffff;
6650 : ffff;
6651 : ffff;
6652 : ffff;
6653 : ffff;
6654 : ef7d;
6655 : 8410;
6656 : 630c;
6657 : 632c;
6658 : 632c;
6659 : 632c;
6660 : 8410;
6661 : ef7d;
6662 : ffff;
6663 : f7be;
6664 : 9492;
6665 : defb;
6666 : ffff;
6667 : ffff;
6668 : ffff;
6669 : ffdf;
6670 : 9492;
6671 : ef7d;
6672 : ffff;
6673 : ffff;
6674 : f7be;
6675 : 9cf3;
6676 : ef5d;
6677 : ffff;
6678 : ffff;
6679 : ffff;
6680 : 9cf3;
6681 : 7bcf;
6682 : ce79;
6683 : ffff;
6684 : ffff;
6685 : ffff;
6686 : ef7d;
6687 : 7bcf;
6688 : e73c;
6689 : ffff;
6690 : ffff;
6691 : ffff;
6692 : e73c;
6693 : 9cf3;
6694 : ffff;
6695 : ffff;
6696 : ffff;
6697 : ffff;
6698 : ffff;
6699 : ffff;
6700 : ffff;
6701 : ffff;
6702 : ffff;
6703 : ffff;
6704 : ffff;
6705 : ffff;
6706 : ffff;
6707 : ffff;
6708 : ffff;
6709 : ffff;
6710 : ffff;
6711 : ffff;
6712 : ffff;
6713 : ffff;
6714 : ffff;
6715 : ffff;
6716 : ffff;
6717 : ffff;
6718 : ffff;
6719 : ffff;
6720 : ffff;
6721 : ffff;
6722 : ffff;
6723 : ffff;
6724 : ffff;
6725 : ffff;
6726 : ffff;
6727 : ffff;
6728 : ffff;
6729 : ffff;
6730 : ffff;
6731 : ffff;
6732 : ffff;
6733 : ffff;
6734 : ffff;
6735 : ffff;
6736 : ffff;
6737 : ffff;
6738 : ffff;
6739 : ffff;
6740 : ffff;
6741 : ffff;
6742 : ffff;
6743 : ffff;
6744 : ffff;
6745 : ffff;
6746 : ffff;
6747 : ffff;
6748 : ffff;
6749 : ffff;
6750 : ffff;
6751 : ffff;
6752 : ffff;
6753 : ffff;
6754 : ffff;
6755 : ffff;
6756 : ffff;
6757 : ffff;
6758 : ffff;
6759 : ffff;
6760 : ffff;
6761 : ffff;
6762 : ffff;
6763 : ffff;
6764 : ffff;
6765 : ffff;
6766 : ffff;
6767 : ffff;
6768 : ffff;
6769 : ffff;
6770 : ffff;
6771 : ffff;
6772 : ffff;
6773 : ffff;
6774 : ffff;
6775 : ffff;
6776 : ffff;
6777 : ffff;
6778 : ffff;
6779 : ffff;
6780 : ffff;
6781 : ffff;
6782 : ffff;
6783 : ffff;
6784 : ffff;
6785 : ffff;
6786 : ffff;
6787 : ffff;
6788 : ffff;
6789 : ffff;
6790 : ffff;
6791 : ffff;
6792 : ffff;
6793 : ffff;
6794 : ffff;
6795 : ffff;
6796 : ffff;
6797 : ffff;
6798 : ffff;
6799 : ffff;
6800 : ffff;
6801 : ffff;
6802 : ffff;
6803 : ffff;
6804 : ffff;
6805 : ffff;
6806 : ffff;
6807 : ffff;
6808 : ffff;
6809 : ffff;
6810 : ffff;
6811 : ffff;
6812 : ffff;
6813 : ffff;
6814 : ffff;
6815 : ffff;
6816 : ffff;
6817 : ffff;
6818 : ffff;
6819 : ffff;
6820 : ffff;
6821 : ffff;
6822 : ffff;
6823 : ffff;
6824 : ffff;
6825 : ffff;
6826 : ffff;
6827 : ffff;
6828 : ffff;
6829 : ffff;
6830 : ffff;
6831 : ffff;
6832 : ffff;
6833 : ffff;
6834 : ffff;
6835 : ffff;
6836 : ffff;
6837 : ffff;
6838 : ffff;
6839 : ffff;
6840 : ffff;
6841 : ffff;
6842 : ffff;
6843 : ffff;
6844 : ffff;
6845 : ffff;
6846 : ffff;
6847 : ffff;
6848 : ffff;
6849 : ffff;
6850 : ffff;
6851 : ffff;
6852 : ffff;
6853 : ffff;
6854 : ffff;
6855 : ffff;
6856 : ffff;
6857 : ffff;
6858 : ffff;
6859 : ffff;
6860 : ffff;
6861 : ffff;
6862 : ffff;
6863 : ffff;
6864 : ffff;
6865 : ffff;
6866 : ffff;
6867 : ffff;
6868 : ffff;
6869 : ffff;
6870 : ffff;
6871 : ffff;
6872 : ffff;
6873 : ffff;
6874 : ffff;
6875 : ffff;
6876 : ffff;
6877 : ffff;
6878 : ffff;
6879 : ffff;
6880 : ffff;
6881 : ffff;
6882 : ffff;
6883 : ffff;
6884 : ffff;
6885 : ffff;
6886 : ffff;
6887 : ffff;
6888 : ffff;
6889 : ffff;
6890 : ffff;
6891 : ffff;
6892 : ffff;
6893 : ffff;
6894 : ffff;
6895 : ffff;
6896 : ffff;
6897 : ffff;
6898 : ffff;
6899 : ffff;
6900 : ffff;
6901 : ffff;
6902 : ffff;
6903 : ffff;
6904 : ffff;
6905 : ffff;
6906 : ffff;
6907 : ffff;
6908 : ffff;
6909 : ffff;
6910 : ffff;
6911 : ffff;
6912 : ffff;
6913 : ffff;
6914 : ffff;
6915 : ffff;
6916 : ffff;
6917 : ffff;
6918 : ffff;
6919 : ffff;
6920 : ffff;
6921 : ffff;
6922 : ffff;
6923 : ffff;
6924 : ffff;
6925 : ffff;
6926 : ffff;
6927 : ffff;
6928 : ffff;
6929 : ffff;
6930 : ffff;
6931 : ffff;
6932 : ffff;
6933 : ffff;
6934 : ffff;
6935 : ffff;
6936 : ffff;
6937 : ffff;
6938 : ffff;
6939 : ffff;
6940 : ffff;
6941 : ffff;
6942 : ffff;
6943 : ffff;
6944 : ffff;
6945 : ffff;
6946 : ffff;
6947 : ffff;
6948 : ffff;
6949 : ffff;
6950 : ffff;
6951 : ffff;
6952 : ffff;
6953 : ffff;
6954 : ffff;
6955 : ffff;
6956 : ffff;
6957 : ffff;
6958 : ffff;
6959 : ffff;
6960 : ffff;
6961 : ffff;
6962 : ffff;
6963 : ffff;
6964 : ffff;
6965 : ffff;
6966 : ffff;
6967 : ffff;
6968 : ffff;
6969 : ffff;
6970 : ffff;
6971 : ffff;
6972 : ffff;
6973 : ffff;
6974 : ffff;
6975 : ffff;
6976 : ffff;
6977 : ffff;
6978 : ffff;
6979 : ffff;
6980 : ffff;
6981 : ffff;
6982 : ffff;
6983 : ffff;
6984 : ffff;
6985 : ffff;
6986 : ffff;
6987 : ffff;
6988 : ffff;
6989 : ffff;
6990 : ffff;
6991 : ffff;
6992 : ffff;
6993 : ffff;
6994 : ffff;
6995 : ffff;
6996 : ffff;
6997 : ffff;
6998 : ffff;
6999 : ffff;
7000 : ffff;
7001 : ffff;
7002 : ffff;
7003 : ffff;
7004 : ffff;
7005 : ffff;
7006 : ffff;
7007 : ffff;
7008 : ffff;
7009 : ffff;
7010 : ffff;
7011 : ffff;
7012 : ffff;
7013 : ffff;
7014 : ffff;
7015 : ffff;
7016 : ffff;
7017 : ffff;
7018 : ffff;
7019 : ffff;
7020 : ffff;
7021 : ffff;
7022 : ffff;
7023 : ffff;
7024 : ffff;
7025 : ffff;
7026 : ffff;
7027 : ffff;
7028 : ffff;
7029 : ffff;
7030 : ffff;
7031 : ffff;
7032 : ffff;
7033 : ffff;
7034 : ffff;
7035 : ffff;
7036 : ffff;
7037 : ffff;
7038 : ffff;
7039 : ffff;
7040 : ffff;
7041 : ffff;
7042 : ffff;
7043 : ffff;
7044 : ffff;
7045 : ffff;
7046 : ffff;
7047 : ffff;
7048 : ffff;
7049 : ffff;
7050 : ffff;
7051 : ffff;
7052 : ffff;
7053 : ffff;
7054 : ffff;
7055 : ffff;
7056 : ffff;
7057 : ffff;
7058 : ffff;
7059 : ffff;
7060 : ffff;
7061 : ffff;
7062 : ffff;
7063 : ffff;
7064 : ffff;
7065 : ffff;
7066 : ffff;
7067 : ffff;
7068 : ffff;
7069 : ffff;
7070 : ffff;
7071 : ffff;
7072 : ffff;
7073 : ffff;
7074 : ffff;
7075 : ffff;
7076 : ffff;
7077 : ffff;
7078 : ffff;
7079 : ffff;
7080 : ffff;
7081 : ffff;
7082 : ffff;
7083 : ffff;
7084 : ffff;
7085 : ffff;
7086 : ffff;
7087 : ffff;
7088 : ffff;
7089 : ffff;
7090 : ffff;
7091 : ffff;
7092 : ffff;
7093 : ffff;
7094 : ffff;
7095 : ffff;
7096 : ffff;
7097 : ffff;
7098 : ffff;
7099 : ffff;
7100 : ffff;
7101 : ffff;
7102 : ffff;
7103 : ffff;
7104 : ffff;
7105 : ffff;
7106 : ffff;
7107 : ffff;
7108 : ffff;
7109 : ffff;
7110 : ffff;
7111 : ffff;
7112 : ffff;
7113 : ffff;
7114 : ffff;
7115 : ffff;
7116 : ffff;
7117 : ffff;
7118 : ffff;
7119 : ffff;
7120 : ffff;
7121 : ffff;
7122 : ffff;
7123 : ffff;
7124 : ffff;
7125 : ffff;
7126 : ffff;
7127 : ffff;
7128 : ffff;
7129 : ffff;
7130 : ffff;
7131 : ffff;
7132 : ffff;
7133 : ffff;
7134 : ffff;
7135 : ffff;
7136 : ffff;
7137 : ffff;
7138 : ffff;
7139 : ffff;
7140 : ffff;
7141 : ffff;
7142 : ffff;
7143 : ffff;
7144 : ffff;
7145 : ffff;
7146 : ffff;
7147 : ffff;
7148 : ffff;
7149 : ffff;
7150 : ffff;
7151 : ffff;
7152 : ffff;
7153 : ffff;
7154 : ffff;
7155 : ffff;
7156 : ffff;
7157 : ffff;
7158 : ffff;
7159 : ffff;
7160 : ffff;
7161 : ffff;
7162 : ffff;
7163 : ffff;
7164 : ffff;
7165 : ffff;
7166 : ffff;
7167 : ffff;
7168 : ffff;
7169 : ffff;
7170 : ffff;
7171 : ffff;
7172 : ffff;
7173 : ffff;
7174 : ffff;
7175 : ffff;
7176 : ffff;
7177 : ffff;
7178 : ffff;
7179 : ffff;
7180 : ffff;
7181 : ffff;
7182 : ffff;
7183 : ffff;
7184 : ffff;
7185 : ffff;
7186 : ffff;
7187 : ffff;
7188 : ffff;
7189 : ffff;
7190 : ffff;
7191 : ffff;
7192 : ffff;
7193 : ffff;
7194 : ffff;
7195 : ffff;
7196 : ffff;
7197 : ffff;
7198 : ffff;
7199 : ffff;
7200 : ffff;
7201 : ffff;
7202 : ffff;
7203 : ffff;
7204 : ffff;
7205 : ffff;
7206 : ffff;
7207 : ffff;
7208 : ffff;
7209 : ffff;
7210 : ffff;
7211 : ffff;
7212 : ffff;
7213 : ffff;
7214 : ffff;
7215 : ffff;
7216 : ffff;
7217 : ffff;
7218 : ffff;
7219 : ffff;
7220 : ffff;
7221 : ffff;
7222 : ffff;
7223 : ffff;
7224 : ffff;
7225 : ffff;
7226 : ffff;
7227 : ffff;
7228 : ffff;
7229 : ffff;
7230 : ffff;
7231 : ffff;
7232 : ffff;
7233 : ffff;
7234 : ffff;
7235 : ffff;
7236 : ffff;
7237 : ffff;
7238 : ffff;
7239 : ffff;
7240 : ffff;
7241 : ffff;
7242 : ffff;
7243 : ffff;
7244 : ffff;
7245 : ffff;
7246 : ffff;
7247 : ffff;
7248 : ffff;
7249 : ffff;
7250 : ffff;
7251 : ffff;
7252 : ffff;
7253 : ffff;
7254 : ffff;
7255 : ffff;
7256 : ffff;
7257 : ffff;
7258 : ffff;
7259 : ffff;
7260 : ffff;
7261 : ffff;
7262 : ffff;
7263 : ffff;
7264 : ffff;
7265 : ffff;
7266 : ffff;
7267 : ffff;
7268 : ffff;
7269 : ffff;
7270 : ffff;
7271 : ffff;
7272 : ffff;
7273 : ffff;
7274 : ffff;
7275 : ffff;
7276 : ffff;
7277 : ffff;
7278 : ffff;
7279 : ffff;
7280 : ffff;
7281 : ffff;
7282 : ffff;
7283 : ffff;
7284 : ffff;
7285 : ffff;
7286 : ffff;
7287 : ffff;
7288 : ffff;
7289 : ffff;
7290 : ffff;
7291 : ffff;
7292 : ffff;
7293 : ffff;
7294 : ffff;
7295 : ffff;
7296 : ffff;
7297 : ffff;
7298 : ffff;
7299 : ffff;
7300 : ffff;
7301 : ffff;
7302 : ffff;
7303 : ffff;
7304 : ffff;
7305 : ffff;
7306 : ffff;
7307 : ffff;
7308 : ffff;
7309 : ffff;
7310 : ffff;
7311 : ffff;
7312 : ffff;
7313 : ffff;
7314 : ffff;
7315 : ffff;
7316 : ffff;
7317 : ffff;
7318 : ffff;
7319 : ffff;
7320 : ffff;
7321 : ffff;
7322 : ffff;
7323 : ffff;
7324 : ffff;
7325 : ffff;
7326 : ffff;
7327 : ffff;
7328 : ffff;
7329 : ffff;
7330 : ffff;
7331 : ffff;
7332 : ffff;
7333 : ffff;
7334 : ffff;
7335 : ffff;
7336 : ffff;
7337 : ffff;
7338 : ffff;
7339 : ffff;
7340 : ffff;
7341 : ffff;
7342 : ffff;
7343 : ffff;
7344 : ffff;
7345 : ffff;
7346 : ffff;
7347 : ffff;
7348 : ffff;
7349 : ffff;
7350 : ffff;
7351 : ffff;
7352 : ffff;
7353 : ffff;
7354 : ffff;
7355 : ffff;
7356 : ffff;
7357 : ffff;
7358 : ffff;
7359 : ffff;
7360 : ffff;
7361 : ffff;
7362 : ffff;
7363 : ffff;
7364 : ffff;
7365 : ffff;
7366 : ffff;
7367 : ffff;
7368 : ffff;
7369 : ffff;
7370 : ffff;
7371 : ffff;
7372 : ffff;
7373 : ffff;
7374 : ffff;
7375 : ffff;
7376 : ffff;
7377 : ffff;
7378 : ffff;
7379 : ffff;
7380 : ffff;
7381 : ffff;
7382 : ffff;
7383 : ffff;
7384 : ffff;
7385 : ffff;
7386 : ffff;
7387 : ffff;
7388 : ffff;
7389 : ffff;
7390 : ffff;
7391 : ffff;
7392 : ffff;
7393 : ffff;
7394 : ffff;
7395 : ffff;
7396 : ffff;
7397 : ffff;
7398 : ffff;
7399 : ffff;
7400 : ffff;
7401 : ffff;
7402 : ffff;
7403 : ffff;
7404 : ffff;
7405 : ffff;
7406 : ffff;
7407 : ffff;
7408 : ffff;
7409 : ffff;
7410 : ffff;
7411 : ffff;
7412 : ffff;
7413 : ffff;
7414 : ffff;
7415 : ffff;
7416 : ffff;
7417 : ffff;
7418 : ffff;
7419 : ffff;
7420 : ffff;
7421 : ffff;
7422 : ffff;
7423 : ffff;
7424 : ffff;
7425 : ffff;
7426 : ffff;
7427 : ffff;
7428 : ffff;
7429 : ffff;
7430 : ffff;
7431 : ffff;
7432 : ffff;
7433 : ffff;
7434 : ffff;
7435 : ffff;
7436 : ffff;
7437 : ffff;
7438 : ffff;
7439 : ffff;
7440 : ffff;
7441 : ffff;
7442 : ffff;
7443 : ffff;
7444 : ffff;
7445 : ffff;
7446 : ffff;
7447 : ffff;
7448 : ffff;
7449 : ffff;
7450 : ffff;
7451 : ffff;
7452 : ffff;
7453 : ffff;
7454 : ffff;
7455 : ffff;
7456 : ffff;
7457 : ffff;
7458 : ffff;
7459 : ffff;
7460 : ffff;
7461 : ffff;
7462 : ffff;
7463 : ffff;
7464 : ffff;
7465 : ffff;
7466 : ffff;
7467 : ffff;
7468 : ffff;
7469 : ffff;
7470 : ffff;
7471 : ffff;
7472 : ffff;
7473 : ffff;
7474 : ffff;
7475 : ffff;
7476 : ffff;
7477 : ffff;
7478 : ffff;
7479 : ffff;
7480 : ffff;
7481 : ffff;
7482 : ffff;
7483 : ffff;
7484 : ffff;
7485 : ffff;
7486 : ffff;
7487 : ffff;
7488 : ffff;
7489 : ffff;
7490 : ffff;
7491 : ffff;
7492 : ffff;
7493 : ffff;
7494 : ffff;
7495 : ffff;
7496 : ffff;
7497 : ffff;
7498 : ffff;
7499 : ffff;
7500 : ffff;
7501 : ffff;
7502 : ffff;
7503 : ffff;
7504 : ffff;
7505 : ffff;
7506 : ffff;
7507 : ffff;
7508 : ffff;
7509 : ffff;
7510 : ffff;
7511 : ffff;
7512 : ffff;
7513 : ffff;
7514 : ffff;
7515 : ffff;
7516 : ffff;
7517 : ffff;
7518 : ffff;
7519 : ffff;
7520 : ffff;
7521 : ffff;
7522 : ffff;
7523 : ffff;
7524 : ffff;
7525 : ffff;
7526 : ffff;
7527 : ffff;
7528 : ffff;
7529 : ffff;
7530 : ffff;
7531 : ffff;
7532 : ffff;
7533 : ffff;
7534 : ffff;
7535 : ffff;
7536 : ffff;
7537 : ffff;
7538 : ffff;
7539 : ffff;
7540 : ffff;
7541 : ffff;
7542 : ffff;
7543 : ffff;
7544 : ffff;
7545 : ffff;
7546 : ffff;
7547 : ffff;
7548 : ffff;
7549 : ffff;
7550 : ffff;
7551 : ffff;
7552 : ffff;
7553 : ffff;
7554 : ffff;
7555 : ffff;
7556 : ffff;
7557 : ffff;
7558 : ffff;
7559 : ffff;
7560 : ffff;
7561 : ffff;
7562 : ffff;
7563 : ffff;
7564 : ffff;
7565 : ffff;
7566 : ffff;
7567 : ffff;
7568 : ffff;
7569 : ffff;
7570 : ffff;
7571 : ffff;
7572 : ffff;
7573 : ffff;
7574 : ffff;
7575 : ffff;
7576 : ffff;
7577 : ffff;
7578 : ffff;
7579 : ffff;
7580 : ffff;
7581 : ffff;
7582 : ffff;
7583 : ffff;
7584 : ffff;
7585 : ffff;
7586 : ffff;
7587 : ffff;
7588 : ffff;
7589 : ffff;
7590 : ffff;
7591 : ffff;
7592 : ffff;
7593 : ffff;
7594 : ffff;
7595 : ffff;
7596 : ffff;
7597 : ffff;
7598 : ffff;
7599 : ffff;
7600 : ffff;
7601 : ffff;
7602 : ffff;
7603 : ffff;
7604 : ffff;
7605 : ffff;
7606 : ffff;
7607 : ffff;
7608 : ffff;
7609 : ffff;
7610 : ffff;
7611 : ffff;
7612 : ffff;
7613 : ffff;
7614 : ffff;
7615 : ffff;
7616 : ffff;
7617 : ffff;
7618 : ffff;
7619 : ffff;
7620 : ffff;
7621 : ffff;
7622 : ffff;
7623 : ffff;
7624 : ffff;
7625 : ffff;
7626 : ffff;
7627 : ffff;
7628 : ffff;
7629 : ffff;
7630 : ffff;
7631 : ffff;
7632 : ffff;
7633 : ffff;
7634 : ffff;
7635 : ffff;
7636 : ffff;
7637 : ffff;
7638 : ffff;
7639 : ffff;
7640 : ffff;
7641 : ffff;
7642 : ffff;
7643 : ffff;
7644 : ffff;
7645 : ffff;
7646 : ffff;
7647 : ffff;
7648 : ffff;
7649 : ffff;
7650 : ffff;
7651 : ffff;
7652 : ffff;
7653 : ffff;
7654 : ffff;
7655 : ffff;
7656 : ffff;
7657 : ffff;
7658 : ffff;
7659 : ffff;
7660 : ffff;
7661 : ffff;
7662 : ffff;
7663 : ffff;
7664 : ffff;
7665 : ffff;
7666 : ffff;
7667 : ffff;
7668 : ffff;
7669 : ffff;
7670 : ffff;
7671 : ffff;
7672 : ffff;
7673 : ffff;
7674 : ffff;
7675 : ffff;
7676 : ffff;
7677 : ffff;
7678 : ffff;
7679 : ffff;
7680 : ffff;
7681 : ffff;
7682 : ffff;
7683 : ffff;
7684 : ffff;
7685 : ffff;
7686 : ffff;
7687 : ffff;
7688 : ffff;
7689 : ffff;
7690 : ffff;
7691 : ffff;
7692 : ffff;
7693 : ffff;
7694 : ffff;
7695 : ffff;
7696 : ffff;
7697 : ffff;
7698 : ffff;
7699 : ffff;
7700 : ffff;
7701 : ffff;
7702 : ffff;
7703 : ffff;
7704 : ffff;
7705 : ffff;
7706 : ffff;
7707 : ffff;
7708 : ffff;
7709 : ffff;
7710 : ffff;
7711 : ffff;
7712 : ffff;
7713 : ffff;
7714 : ffff;
7715 : ffff;
7716 : ffff;
7717 : ffff;
7718 : ffff;
7719 : ffff;
7720 : ffff;
7721 : ffff;
7722 : ffff;
7723 : ffff;
7724 : ffff;
7725 : ffff;
7726 : ffff;
7727 : ffff;
7728 : ffff;
7729 : ffff;
7730 : ffff;
7731 : ffff;
7732 : ffff;
7733 : ffff;
7734 : ffff;
7735 : ffff;
7736 : ffff;
7737 : ffff;
7738 : ffff;
7739 : ffff;
7740 : ffff;
7741 : ffff;
7742 : ffff;
7743 : ffff;
7744 : ffff;
7745 : ffff;
7746 : ffff;
7747 : ffff;
7748 : ffff;
7749 : ffff;
7750 : ffff;
7751 : ffff;
7752 : ffff;
7753 : ffff;
7754 : ffff;
7755 : ffff;
7756 : ffff;
7757 : ffff;
7758 : ffff;
7759 : ffff;
7760 : ffff;
7761 : ffff;
7762 : ffff;
7763 : ffff;
7764 : ffff;
7765 : ffff;
7766 : ffff;
7767 : ffff;
7768 : ffff;
7769 : ffff;
7770 : ffff;
7771 : ffff;
7772 : ffff;
7773 : ffff;
7774 : ffff;
7775 : ffff;
7776 : ffff;
7777 : ffff;
7778 : ffff;
7779 : ffff;
7780 : ffff;
7781 : ffff;
7782 : ffff;
7783 : ffff;
7784 : ffff;
7785 : ffff;
7786 : ffff;
7787 : ffff;
7788 : ffff;
7789 : ffff;
7790 : ffff;
7791 : ffff;
7792 : ffff;
7793 : ffff;
7794 : ffff;
7795 : ffff;
7796 : ffff;
7797 : ffff;
7798 : ffff;
7799 : ffff;
7800 : ffff;
7801 : ffff;
7802 : ffff;
7803 : ffff;
7804 : ffff;
7805 : ffff;
7806 : ffff;
7807 : ffff;
7808 : ffff;
7809 : ffff;
7810 : ffff;
7811 : ffff;
7812 : ffff;
7813 : ffff;
7814 : ffff;
7815 : ffff;
7816 : ffff;
7817 : ffff;
7818 : ffff;
7819 : ffff;
7820 : ffff;
7821 : ffff;
7822 : ffff;
7823 : ffff;
7824 : ffff;
7825 : ffff;
7826 : ffff;
7827 : ffff;
7828 : ffff;
7829 : ffff;
7830 : ffff;
7831 : ffff;
7832 : ffff;
7833 : ffff;
7834 : ffff;
7835 : ffff;
7836 : ffff;
7837 : ffff;
7838 : ffff;
7839 : ffff;
7840 : ffff;
7841 : ffff;
7842 : ffff;
7843 : ffff;
7844 : ffff;
7845 : ffff;
7846 : ffff;
7847 : ffff;
7848 : ffff;
7849 : ffff;
7850 : ffff;
7851 : ffff;
7852 : ffff;
7853 : ffff;
7854 : ffff;
7855 : ffff;
7856 : ffff;
7857 : ffff;
7858 : ffff;
7859 : ffff;
7860 : ffff;
7861 : ffff;
7862 : ffff;
7863 : ffff;
7864 : ffff;
7865 : ffff;
7866 : ffff;
7867 : ffff;
7868 : ffff;
7869 : ffff;
7870 : ffff;
7871 : ffff;
7872 : ffff;
7873 : ffff;
7874 : ffff;
7875 : ffff;
7876 : ffff;
7877 : ffff;
7878 : ffff;
7879 : ffff;
7880 : ffff;
7881 : ffff;
7882 : ffff;
7883 : ffff;
7884 : ffff;
7885 : ffff;
7886 : ffff;
7887 : ffff;
7888 : ffff;
7889 : ffff;
7890 : ffff;
7891 : ffff;
7892 : ffff;
7893 : ffff;
7894 : ffff;
7895 : ffff;
7896 : ffff;
7897 : ffff;
7898 : ffff;
7899 : ffff;
7900 : ffff;
7901 : ffff;
7902 : ffff;
7903 : ffff;
7904 : ffff;
7905 : ffff;
7906 : ffff;
7907 : ffff;
7908 : ffff;
7909 : ffff;
7910 : ffff;
7911 : ffff;
7912 : ffff;
7913 : ffff;
7914 : ffff;
7915 : ffff;
7916 : ffff;
7917 : ffff;
7918 : ffff;
7919 : ffff;
7920 : ffff;
7921 : ffff;
7922 : ffff;
7923 : ffff;
7924 : ffff;
7925 : ffff;
7926 : ffff;
7927 : ffff;
7928 : ffff;
7929 : ffff;
7930 : ffff;
7931 : ffff;
7932 : ffff;
7933 : ffff;
7934 : ffff;
7935 : ffff;
7936 : ffff;
7937 : ffff;
7938 : ffff;
7939 : ffff;
7940 : ffff;
7941 : ffff;
7942 : ffff;
7943 : ffff;
7944 : ffff;
7945 : ffff;
7946 : ffff;
7947 : ffff;
7948 : ffff;
7949 : ffff;
7950 : ffff;
7951 : ffff;
7952 : ffff;
7953 : ffff;
7954 : ffff;
7955 : ffff;
7956 : ffff;
7957 : ffff;
7958 : ffff;
7959 : ffff;
7960 : ffff;
7961 : ffff;
7962 : ffff;
7963 : ffff;
7964 : ffff;
7965 : ffff;
7966 : ffff;
7967 : ffff;
7968 : ffff;
7969 : ffff;
7970 : ffff;
7971 : ffff;
7972 : ffff;
7973 : ffff;
7974 : ffff;
7975 : ffff;
7976 : ffff;
7977 : ffff;
7978 : ffff;
7979 : ffff;
7980 : ffff;
7981 : ffff;
7982 : ffff;
7983 : ffff;
7984 : ffff;
7985 : ffff;
7986 : ffff;
7987 : ffff;
7988 : ffff;
7989 : ffff;
7990 : ffff;
7991 : ffff;
7992 : ffff;
7993 : ffff;
7994 : ffff;
7995 : ffff;
7996 : ffff;
7997 : ffff;
7998 : ffff;
7999 : ffff;
8000 : ffff;
8001 : ffff;
8002 : ffff;
8003 : ffff;
8004 : ffff;
8005 : ffff;
8006 : ffff;
8007 : ffff;
8008 : ffff;
8009 : ffff;
8010 : ffff;
8011 : ffff;
8012 : ffff;
8013 : ffff;
8014 : ffff;
8015 : ffff;
8016 : ffff;
8017 : ffff;
8018 : ffff;
8019 : ffff;
8020 : ffff;
8021 : ffff;
8022 : ffff;
8023 : ffff;
8024 : ffff;
8025 : ffff;
8026 : ffff;
8027 : ffff;
8028 : ffff;
8029 : ffff;
8030 : ffff;
8031 : ffff;
8032 : ffff;
8033 : ffff;
8034 : ffff;
8035 : ffff;
8036 : ffff;
8037 : ffff;
8038 : ffff;
8039 : ffff;
8040 : ffff;
8041 : ffff;
8042 : ffff;
8043 : ffff;
8044 : ffff;
8045 : ffff;
8046 : ffff;
8047 : ffff;
8048 : ffff;
8049 : ffff;
8050 : ffff;
8051 : ffff;
8052 : ffff;
8053 : ffff;
8054 : ffff;
8055 : ffff;
8056 : ffff;
8057 : ffff;
8058 : ffff;
8059 : ffff;
8060 : ffff;
8061 : ffff;
8062 : ffff;
8063 : ffff;
8064 : ffff;
8065 : ffff;
8066 : ffff;
8067 : ffff;
8068 : ffff;
8069 : ffff;
8070 : ffff;
8071 : ffff;
8072 : ffff;
8073 : ffff;
8074 : ffff;
8075 : ffff;
8076 : ffff;
8077 : ffff;
8078 : ffff;
8079 : ffff;
8080 : ffff;
8081 : ffff;
8082 : ffff;
8083 : ffff;
8084 : ffff;
8085 : ffff;
8086 : ffff;
8087 : ffff;
8088 : ffff;
8089 : ffff;
8090 : ffff;
8091 : ffff;
8092 : ffff;
8093 : ffff;
8094 : ffff;
8095 : ffff;
8096 : ffff;
8097 : ffff;
8098 : ffff;
8099 : ffff;
8100 : ffff;
8101 : ffff;
8102 : ffff;
8103 : ffff;
8104 : ffff;
8105 : ffff;
8106 : ffff;
8107 : ffff;
8108 : ffff;
8109 : ffff;
8110 : ffff;
8111 : ffff;
8112 : ffff;
8113 : ffff;
8114 : ffff;
8115 : ffff;
8116 : ffff;
8117 : ffff;
8118 : ffff;
8119 : ffff;
8120 : ffff;
8121 : ffff;
8122 : ffff;
8123 : ffff;
8124 : ffff;
8125 : ffff;
8126 : ffff;
8127 : ffff;
8128 : ffff;
8129 : ffff;
8130 : ffff;
8131 : ffff;
8132 : ffff;
8133 : ffff;
8134 : ffff;
8135 : ffff;
8136 : ffff;
8137 : ffff;
8138 : ffff;
8139 : ffff;
8140 : ffff;
8141 : ffff;
8142 : ffff;
8143 : ffff;
8144 : ffff;
8145 : ffff;
8146 : ffff;
8147 : ffff;
8148 : ffff;
8149 : ffff;
8150 : ffff;
8151 : ffff;
8152 : ffff;
8153 : ffff;
8154 : ffff;
8155 : ffff;
8156 : ffff;
8157 : ffff;
8158 : ffff;
8159 : ffff;
8160 : ffff;
8161 : ffff;
8162 : ffff;
8163 : ffff;
8164 : ffff;
8165 : ffff;
8166 : ffff;
8167 : ffff;
8168 : ffff;
8169 : ffff;
8170 : ffff;
8171 : ffff;
8172 : ffff;
8173 : ffff;
8174 : ffff;
8175 : ffff;
8176 : ffff;
8177 : ffff;
8178 : ffff;
8179 : ffff;
8180 : ffff;
8181 : ffff;
8182 : ffff;
8183 : ffff;
8184 : ffff;
8185 : ffff;
8186 : ffff;
8187 : ffff;
8188 : ffff;
8189 : ffff;
8190 : ffff;
8191 : ffff;
8192 : ffff;
8193 : ffff;
8194 : ffff;
8195 : ffff;
8196 : ffff;
8197 : ffff;
8198 : ffff;
8199 : ffff;
8200 : ffff;
8201 : ffff;
8202 : ffff;
8203 : ffff;
8204 : ffff;
8205 : ffff;
8206 : ffff;
8207 : ffff;
8208 : ffff;
8209 : ffff;
8210 : ffff;
8211 : ffff;
8212 : ffff;
8213 : ffff;
8214 : ffff;
8215 : ffff;
8216 : ffff;
8217 : ffff;
8218 : ffff;
8219 : ffff;
8220 : ffff;
8221 : ffff;
8222 : ffff;
8223 : ffff;
8224 : ffff;
8225 : ffff;
8226 : ffff;
8227 : ffff;
8228 : ffff;
8229 : ffff;
8230 : ffff;
8231 : ffff;
8232 : ffff;
8233 : ffff;
8234 : ffff;
8235 : ffff;
8236 : ffff;
8237 : ffff;
8238 : ffff;
8239 : ffff;
8240 : ffff;
8241 : ffff;
8242 : ffff;
8243 : ffff;
8244 : ffff;
8245 : ffff;
8246 : ffff;
8247 : ffff;
8248 : ffff;
8249 : ffff;
8250 : ffff;
8251 : ffff;
8252 : ffff;
8253 : ffff;
8254 : ffff;
8255 : ffff;
8256 : ffff;
8257 : ffff;
8258 : ffff;
8259 : ffff;
8260 : ffff;
8261 : ffff;
8262 : ffff;
8263 : ffff;
8264 : ffff;
8265 : ffff;
8266 : ffff;
8267 : ffff;
8268 : ffff;
8269 : ffff;
8270 : ffff;
8271 : ffff;
8272 : ffff;
8273 : ffff;
8274 : ffff;
8275 : ffff;
8276 : ffff;
8277 : ffff;
8278 : ffff;
8279 : ffff;
8280 : ffff;
8281 : ffff;
8282 : ffff;
8283 : ffff;
8284 : ffff;
8285 : ffff;
8286 : ffff;
8287 : ffff;
8288 : ffff;
8289 : ffff;
8290 : ffff;
8291 : ffff;
8292 : ffff;
8293 : ffff;
8294 : ffff;
8295 : ffff;
8296 : ffff;
8297 : ffff;
8298 : ffff;
8299 : ffff;
8300 : ffff;
8301 : ffff;
8302 : ffff;
8303 : ffff;
8304 : ffff;
8305 : ffff;
8306 : ffff;
8307 : ffff;
8308 : ffff;
8309 : ffff;
8310 : ffff;
8311 : ffff;
8312 : ffff;
8313 : ffff;
8314 : ffff;
8315 : ffff;
8316 : ffff;
8317 : ffff;
8318 : ffff;
8319 : ffff;
8320 : ffff;
8321 : ffff;
8322 : ffff;
8323 : ffff;
8324 : ffff;
8325 : ffff;
8326 : ffff;
8327 : ffff;
8328 : ffff;
8329 : ffff;
8330 : ffff;
8331 : ffff;
8332 : ffff;
8333 : ffff;
8334 : ffff;
8335 : ffff;
8336 : ffff;
8337 : ffff;
8338 : ffff;
8339 : ffff;
8340 : ffff;
8341 : ffff;
8342 : ffff;
8343 : ffff;
8344 : ffff;
8345 : ffff;
8346 : ffff;
8347 : ffff;
8348 : ffff;
8349 : ffff;
8350 : ffff;
8351 : ffff;
8352 : ffff;
8353 : ffff;
8354 : ffff;
8355 : ffff;
8356 : ffff;
8357 : ffff;
8358 : ffff;
8359 : ffff;
8360 : ffff;
8361 : ffff;
8362 : ffff;
8363 : ffff;
8364 : ffff;
8365 : ffff;
8366 : ffff;
8367 : ffff;
8368 : ffff;
8369 : ffff;
8370 : ffff;
8371 : ffff;
8372 : ffff;
8373 : ffff;
8374 : ffff;
8375 : ffff;
8376 : ffff;
8377 : ffff;
8378 : ffff;
8379 : ffff;
8380 : ffff;
8381 : ffff;
8382 : ffff;
8383 : ffff;
8384 : ffff;
8385 : ffff;
8386 : ffff;
8387 : ffff;
8388 : ffff;
8389 : ffff;
8390 : ffff;
8391 : ffff;
8392 : ffff;
8393 : ffff;
8394 : ffff;
8395 : ffff;
8396 : ffff;
8397 : ffff;
8398 : ffff;
8399 : ffff;
8400 : ffff;
8401 : ffff;
8402 : ffff;
8403 : ffff;
8404 : ffff;
8405 : ffff;
8406 : ffff;
8407 : ffff;
8408 : ffff;
8409 : ffff;
8410 : ffff;
8411 : ffff;
8412 : ffff;
8413 : ffff;
8414 : ffff;
8415 : ffff;
8416 : ffff;
8417 : ffff;
8418 : ffff;
8419 : ffff;
8420 : ffff;
8421 : ffff;
8422 : ffff;
8423 : ffff;
8424 : ffff;
8425 : ffff;
8426 : ffff;
8427 : ffff;
8428 : ffff;
8429 : ffff;
8430 : ffff;
8431 : ffff;
8432 : ffff;
8433 : ffff;
8434 : ffff;
8435 : ffff;
8436 : ffff;
8437 : ffff;
8438 : ffff;
8439 : ffff;
8440 : ffff;
8441 : ffff;
8442 : ffff;
8443 : ffff;
8444 : ffff;
8445 : ffff;
8446 : ffff;
8447 : ffff;
8448 : ffff;
8449 : ffff;
8450 : ffff;
8451 : ffff;
8452 : ffff;
8453 : ffff;
8454 : ffff;
8455 : ffff;
8456 : ffff;
8457 : ffff;
8458 : ffff;
8459 : ffff;
8460 : ffff;
8461 : ffff;
8462 : ffff;
8463 : ffff;
8464 : ffff;
8465 : ffff;
8466 : ffff;
8467 : ffff;
8468 : ffff;
8469 : ffff;
8470 : ffff;
8471 : ffff;
8472 : ffff;
8473 : ffff;
8474 : ffff;
8475 : ffff;
8476 : ffff;
8477 : ffff;
8478 : ffff;
8479 : ffff;
8480 : ffff;
8481 : ffff;
8482 : ffff;
8483 : ffff;
8484 : ffff;
8485 : ffff;
8486 : ffff;
8487 : ffff;
8488 : ffff;
8489 : ffff;
8490 : ffff;
8491 : ffff;
8492 : ffff;
8493 : ffff;
8494 : ffff;
8495 : ffff;
8496 : ffff;
8497 : ffff;
8498 : ffff;
8499 : ffff;
8500 : ffff;
8501 : ffff;
8502 : ffff;
8503 : ffff;
8504 : ffff;
8505 : ffff;
8506 : ffff;
8507 : ffff;
8508 : ffff;
8509 : ffff;
8510 : ffff;
8511 : ffff;
8512 : ffff;
8513 : ffff;
8514 : ffff;
8515 : ffff;
8516 : ffff;
8517 : ffff;
8518 : ffff;
8519 : ffff;
8520 : ffff;
8521 : ffff;
8522 : ffff;
8523 : ffff;
8524 : ffff;
8525 : ffff;
8526 : ffff;
8527 : ffff;
8528 : ffff;
8529 : ffff;
8530 : ffff;
8531 : ffff;
8532 : ffff;
8533 : ffff;
8534 : ffff;
8535 : ffff;
8536 : ffff;
8537 : ffff;
8538 : ffff;
8539 : ffff;
8540 : ffff;
8541 : ffff;
8542 : ffff;
8543 : ffff;
8544 : ffff;
8545 : ffff;
8546 : ffff;
8547 : ffff;
8548 : ffff;
8549 : ffff;
8550 : ffff;
8551 : ffff;
8552 : ffff;
8553 : ffff;
8554 : ffff;
8555 : ffff;
8556 : ffff;
8557 : ffff;
8558 : ffff;
8559 : ffff;
8560 : ffff;
8561 : ffff;
8562 : ffff;
8563 : ffff;
8564 : ffff;
8565 : ffff;
8566 : ffff;
8567 : ffff;
8568 : ffff;
8569 : ffff;
8570 : ffff;
8571 : ffff;
8572 : ffff;
8573 : ffff;
8574 : ffff;
8575 : ffff;
8576 : ffff;
8577 : ffff;
8578 : ffff;
8579 : ffff;
8580 : ffff;
8581 : ffff;
8582 : ffff;
8583 : ffff;
8584 : ffff;
8585 : ffff;
8586 : ffff;
8587 : ffff;
8588 : ffff;
8589 : ffff;
8590 : ffff;
8591 : ffff;
8592 : ffff;
8593 : ffff;
8594 : ffff;
8595 : ffff;
8596 : ffff;
8597 : ffff;
8598 : ffff;
8599 : ffff;
8600 : ffff;
8601 : ffff;
8602 : ffff;
8603 : ffff;
8604 : ffff;
8605 : ffff;
8606 : ffff;
8607 : ffff;
8608 : ffff;
8609 : ffff;
8610 : ffff;
8611 : ffff;
8612 : ffff;
8613 : ffff;
8614 : ffff;
8615 : ffff;
8616 : ffff;
8617 : ffff;
8618 : ffff;
8619 : ffff;
8620 : ffff;
8621 : ffff;
8622 : ffff;
8623 : ffff;
8624 : ffff;
8625 : ffff;
8626 : ffff;
8627 : ffff;
8628 : ffff;
8629 : ffff;
8630 : ffff;
8631 : ffff;
8632 : ffff;
8633 : ffff;
8634 : ffff;
8635 : ffff;
8636 : ffff;
8637 : ffff;
8638 : ffff;
8639 : ffff;
8640 : ffff;
8641 : ffff;
8642 : ffff;
8643 : ffff;
8644 : ffff;
8645 : ffff;
8646 : ffff;
8647 : ffff;
8648 : ffff;
8649 : ffff;
8650 : ffff;
8651 : ffff;
8652 : ffff;
8653 : ffff;
8654 : ffff;
8655 : ffff;
8656 : ffff;
8657 : ffff;
8658 : ffff;
8659 : ffff;
8660 : ffff;
8661 : ffff;
8662 : ffff;
8663 : ffff;
8664 : ffff;
8665 : ffff;
8666 : ffff;
8667 : ffff;
8668 : ffff;
8669 : ffff;
8670 : ffff;
8671 : ffff;
8672 : ffff;
8673 : ffff;
8674 : ffff;
8675 : ffff;
8676 : ffff;
8677 : ffff;
8678 : ffff;
8679 : ffff;
8680 : ffff;
8681 : ffff;
8682 : ffff;
8683 : ffff;
8684 : ffff;
8685 : ffff;
8686 : ffff;
8687 : ffff;
8688 : ffff;
8689 : ffff;
8690 : ffff;
8691 : ffff;
8692 : ffff;
8693 : ffff;
8694 : ffff;
8695 : ffff;
8696 : ffff;
8697 : ffff;
8698 : ffff;
8699 : ffff;
8700 : ffff;
8701 : ffff;
8702 : ffff;
8703 : ffff;
8704 : ffff;
8705 : ffff;
8706 : ffff;
8707 : ffff;
8708 : ffff;
8709 : ffff;
8710 : ffff;
8711 : ffff;
8712 : ffff;
8713 : ffff;
8714 : ffff;
8715 : ffff;
8716 : ffff;
8717 : ffff;
8718 : ffff;
8719 : ffff;
8720 : ffff;
8721 : ffff;
8722 : ffff;
8723 : ffff;
8724 : ffff;
8725 : ffff;
8726 : ffff;
8727 : ffff;
8728 : ffff;
8729 : ffff;
8730 : ffff;
8731 : ffff;
8732 : ffff;
8733 : ffff;
8734 : ffff;
8735 : ffff;
8736 : ffff;
8737 : ffff;
8738 : ffff;
8739 : ffff;
8740 : ffff;
8741 : ffff;
8742 : ffff;
8743 : ffff;
8744 : ffff;
8745 : ffff;
8746 : ffff;
8747 : ffff;
8748 : ffff;
8749 : ffff;
8750 : ffff;
8751 : ffff;
8752 : ffff;
8753 : ffff;
8754 : ffff;
8755 : ffff;
8756 : ffff;
8757 : ffff;
8758 : ffff;
8759 : ffff;
8760 : ffff;
8761 : ffff;
8762 : ffff;
8763 : ffff;
8764 : ffff;
8765 : ffff;
8766 : ffff;
8767 : ffff;
8768 : ffff;
8769 : ffff;
8770 : ffff;
8771 : ffff;
8772 : ffff;
8773 : ffff;
8774 : ffff;
8775 : ffff;
8776 : ffff;
8777 : ffff;
8778 : ffff;
8779 : ffff;
8780 : ffff;
8781 : ffff;
8782 : ffff;
8783 : ffff;
8784 : ffff;
8785 : ffff;
8786 : ffff;
8787 : ffff;
8788 : ffff;
8789 : ffff;
8790 : ffff;
8791 : ffff;
8792 : ffff;
8793 : ffff;
8794 : ffff;
8795 : ffff;
8796 : ffff;
8797 : ffff;
8798 : ffff;
8799 : ffff;
8800 : ffff;
8801 : ffff;
8802 : ffff;
8803 : ffff;
8804 : ffff;
8805 : ffff;
8806 : ffff;
8807 : ffff;
8808 : ffff;
8809 : ffff;
8810 : ffff;
8811 : ffff;
8812 : ffff;
8813 : ffff;
8814 : ffff;
8815 : ffff;
8816 : ffff;
8817 : ffff;
8818 : ffff;
8819 : ffff;
8820 : ffff;
8821 : ffff;
8822 : ffff;
8823 : ffff;
8824 : ffff;
8825 : ffff;
8826 : ffff;
8827 : ffff;
8828 : ffff;
8829 : ffff;
8830 : ffff;
8831 : ffff;
8832 : ffff;
8833 : ffff;
8834 : ffff;
8835 : ffff;
8836 : ffff;
8837 : ffff;
8838 : ffff;
8839 : ffff;
8840 : ffff;
8841 : ffff;
8842 : ffff;
8843 : ffff;
8844 : ffff;
8845 : ffff;
8846 : ffff;
8847 : ffff;
8848 : ffff;
8849 : ffff;
8850 : ffff;
8851 : ffff;
8852 : ffff;
8853 : ffff;
8854 : ffff;
8855 : ffff;
8856 : ffff;
8857 : ffff;
8858 : ffff;
8859 : ffff;
8860 : ffff;
8861 : ffff;
8862 : ffff;
8863 : ffff;
8864 : ffff;
8865 : ffff;
8866 : ffff;
8867 : ffff;
8868 : ffff;
8869 : ffff;
8870 : ffff;
8871 : ffff;
8872 : ffff;
8873 : ffff;
8874 : ffff;
8875 : ffff;
8876 : ffff;
8877 : ffff;
8878 : ffff;
8879 : ffff;
8880 : ffff;
8881 : ffff;
8882 : ffff;
8883 : ffff;
8884 : ffff;
8885 : ffff;
8886 : ffff;
8887 : ffff;
8888 : ffff;
8889 : ffff;
8890 : ffff;
8891 : ffff;
8892 : ffff;
8893 : ffff;
8894 : ffff;
8895 : ffff;
8896 : ffff;
8897 : ffff;
8898 : ffff;
8899 : ffff;
8900 : ffff;
8901 : ffff;
8902 : ffff;
8903 : ffff;
8904 : ffff;
8905 : ffff;
8906 : ffff;
8907 : ffff;
8908 : ffff;
8909 : ffff;
8910 : ffff;
8911 : ffff;
8912 : ffff;
8913 : ffff;
8914 : ffff;
8915 : ffff;
8916 : ffff;
8917 : ffff;
8918 : ffff;
8919 : ffff;
8920 : ffff;
8921 : ffff;
8922 : ffff;
8923 : ffff;
8924 : ffff;
8925 : ffff;
8926 : ffff;
8927 : ffff;
8928 : ffff;
8929 : ffff;
8930 : ffff;
8931 : ffff;
8932 : ffff;
8933 : ffff;
8934 : ffff;
8935 : ffff;
8936 : ffff;
8937 : ffff;
8938 : ffff;
8939 : ffff;
8940 : ffff;
8941 : ffff;
8942 : ffff;
8943 : ffff;
8944 : ffff;
8945 : ffff;
8946 : ffff;
8947 : ffff;
8948 : ffff;
8949 : ffff;
8950 : ffff;
8951 : ffff;
8952 : ffff;
8953 : ffff;
8954 : ffff;
8955 : ffff;
8956 : ffff;
8957 : ffff;
8958 : ffff;
8959 : ffff;
8960 : ffff;
8961 : ffff;
8962 : ffff;
8963 : ffff;
8964 : ffff;
8965 : ffff;
8966 : ffff;
8967 : ffff;
8968 : ffff;
8969 : ffff;
8970 : ffff;
8971 : ffff;
8972 : ffff;
8973 : ffff;
8974 : ffff;
8975 : ffff;
8976 : ffff;
8977 : ffff;
8978 : ffff;
8979 : ffff;
8980 : ffff;
8981 : ffff;
8982 : ffff;
8983 : ffff;
8984 : ffff;
8985 : ffff;
8986 : ffff;
8987 : ffff;
8988 : ffff;
8989 : ffff;
8990 : ffff;
8991 : ffff;
8992 : ffff;
8993 : ffff;
8994 : ffff;
8995 : ffff;
8996 : ffff;
8997 : ffff;
8998 : ffff;
8999 : ffff;
9000 : ffff;
9001 : ffff;
9002 : ffff;
9003 : ffff;
9004 : ffff;
9005 : ffff;
9006 : ffff;
9007 : ffff;
9008 : ffff;
9009 : ffff;
9010 : ffff;
9011 : ffff;
9012 : ffff;
9013 : ffff;
9014 : ffff;
9015 : ffff;
9016 : ffff;
9017 : ffff;
9018 : ffff;
9019 : ffff;
9020 : ffff;
9021 : ffff;
9022 : ffff;
9023 : ffff;
9024 : ffff;
9025 : ffff;
9026 : ffff;
9027 : ffff;
9028 : ffff;
9029 : ffff;
9030 : ffff;
9031 : ffff;
9032 : ffff;
9033 : ffff;
9034 : ffff;
9035 : ffff;
9036 : ffff;
9037 : ffff;
9038 : ffff;
9039 : ffff;
9040 : ffff;
9041 : ffff;
9042 : ffff;
9043 : ffff;
9044 : ffff;
9045 : ffff;
9046 : ffff;
9047 : ffff;
9048 : ffff;
9049 : ffff;
9050 : ffff;
9051 : ffff;
9052 : ffff;
9053 : ffff;
9054 : ffff;
9055 : ffff;
9056 : ffff;
9057 : ffff;
9058 : ffff;
9059 : ffff;
9060 : ffff;
9061 : ffff;
9062 : ffff;
9063 : ffff;
9064 : ffff;
9065 : ffff;
9066 : ffff;
9067 : ffff;
9068 : ffff;
9069 : ffff;
9070 : ffff;
9071 : ffff;
9072 : ffff;
9073 : ffff;
9074 : ffff;
9075 : ffff;
9076 : ffff;
9077 : ffff;
9078 : ffff;
9079 : ffff;
9080 : ffff;
9081 : ffff;
9082 : ffff;
9083 : ffff;
9084 : ffff;
9085 : ffff;
9086 : ffff;
9087 : ffff;
9088 : ffff;
9089 : ffff;
9090 : ffff;
9091 : ffff;
9092 : ffff;
9093 : ffff;
9094 : ffff;
9095 : ffff;
9096 : ffff;
9097 : ffff;
9098 : ffff;
9099 : ffff;
9100 : ffff;
9101 : ffff;
9102 : ffff;
9103 : ffff;
9104 : ffff;
9105 : ffff;
9106 : ffff;
9107 : ffff;
9108 : ffff;
9109 : ffff;
9110 : ffff;
9111 : ffff;
9112 : ffff;
9113 : ffff;
9114 : ffff;
9115 : ffff;
9116 : ffff;
9117 : ffff;
9118 : ffff;
9119 : ffff;
9120 : ffff;
9121 : ffff;
9122 : ffff;
9123 : ffff;
9124 : ffff;
9125 : ffff;
9126 : ffff;
9127 : ffff;
9128 : ffff;
9129 : ffff;
9130 : ffff;
9131 : ffff;
9132 : ffff;
9133 : ffff;
9134 : ffff;
9135 : ffff;
9136 : ffff;
9137 : ffff;
9138 : ffff;
9139 : ffff;
9140 : ffff;
9141 : ffff;
9142 : ffff;
9143 : ffff;
9144 : ffff;
9145 : ffff;
9146 : ffff;
9147 : ffff;
9148 : ffff;
9149 : ffff;
9150 : ffff;
9151 : ffff;
9152 : ffff;
9153 : ffff;
9154 : ffff;
9155 : ffff;
9156 : ffff;
9157 : ffff;
9158 : ffff;
9159 : ffff;
9160 : ffff;
9161 : ffff;
9162 : ffff;
9163 : ffff;
9164 : ffff;
9165 : ffff;
9166 : ffff;
9167 : ffff;
9168 : ffff;
9169 : ffff;
9170 : ffff;
9171 : ffff;
9172 : ffff;
9173 : ffff;
9174 : ffff;
9175 : ffff;
9176 : ffff;
9177 : ffff;
9178 : ffff;
9179 : ffff;
9180 : ffff;
9181 : ffff;
9182 : ffff;
9183 : ffff;
9184 : ffff;
9185 : ffff;
9186 : ffff;
9187 : ffff;
9188 : ffff;
9189 : ffff;
9190 : ffff;
9191 : ffff;
9192 : ffff;
9193 : ffff;
9194 : ffff;
9195 : ffff;
9196 : ffff;
9197 : ffff;
9198 : ffff;
9199 : ffff;
9200 : ffff;
9201 : ffff;
9202 : ffff;
9203 : ffff;
9204 : ffff;
9205 : ffff;
9206 : ffff;
9207 : ffff;
9208 : ffff;
9209 : ffff;
9210 : ffff;
9211 : ffff;
9212 : ffff;
9213 : ffff;
9214 : ffff;
9215 : ffff;
9216 : ffff;
9217 : ffff;
9218 : ffff;
9219 : ffff;
9220 : ffff;
9221 : ffff;
9222 : ffff;
9223 : ffff;
9224 : ffff;
9225 : ffff;
9226 : ffff;
9227 : ffff;
9228 : ffff;
9229 : ffff;
9230 : ffff;
9231 : ffff;
9232 : ffff;
9233 : ffff;
9234 : ffff;
9235 : ffff;
9236 : ffff;
9237 : ffff;
9238 : ffff;
9239 : ffff;
9240 : ffff;
9241 : ffff;
9242 : ffff;
9243 : ffff;
9244 : ffff;
9245 : ffff;
9246 : ffff;
9247 : ffff;
9248 : ffff;
9249 : ffff;
9250 : ffff;
9251 : ffff;
9252 : ffff;
9253 : ffff;
9254 : ffff;
9255 : ffff;
9256 : ffff;
9257 : ffff;
9258 : ffff;
9259 : ffff;
9260 : ffff;
9261 : ffff;
9262 : ffff;
9263 : ffff;
9264 : ffff;
9265 : ffff;
9266 : ffff;
9267 : ffff;
9268 : ffff;
9269 : ffff;
9270 : ffff;
9271 : ffff;
9272 : ffff;
9273 : ffff;
9274 : ffff;
9275 : ffff;
9276 : ffff;
9277 : ffff;
9278 : ffff;
9279 : ffff;
9280 : ffff;
9281 : ffff;
9282 : ffff;
9283 : ffff;
9284 : ffff;
9285 : ffff;
9286 : ffff;
9287 : ffff;
9288 : ffff;
9289 : ffff;
9290 : ffff;
9291 : ffff;
9292 : ffff;
9293 : ffff;
9294 : ffff;
9295 : ffff;
9296 : ffff;
9297 : ffff;
9298 : ffff;
9299 : ffff;
9300 : ffff;
9301 : ffff;
9302 : ffff;
9303 : ffff;
9304 : ffff;
9305 : ffff;
9306 : ffff;
9307 : ffff;
9308 : ffff;
9309 : ffff;
9310 : ffff;
9311 : ffff;
9312 : ffff;
9313 : ffff;
9314 : ffff;
9315 : ffff;
9316 : ffff;
9317 : ffff;
9318 : ffff;
9319 : ffff;
9320 : ffff;
9321 : ffff;
9322 : ffff;
9323 : ffff;
9324 : ffff;
9325 : ffff;
9326 : ffff;
9327 : ffff;
9328 : ffff;
9329 : ffff;
9330 : ffff;
9331 : ffff;
9332 : ffff;
9333 : ffff;
9334 : ffff;
9335 : ffff;
9336 : ffff;
9337 : ffff;
9338 : ffff;
9339 : ffff;
9340 : ffff;
9341 : ffff;
9342 : ffff;
9343 : ffff;
9344 : ffff;
9345 : ffff;
9346 : ffff;
9347 : ffff;
9348 : ffff;
9349 : ffff;
9350 : ffff;
9351 : ffff;
9352 : ffff;
9353 : ffff;
9354 : ffff;
9355 : ffff;
9356 : ffff;
9357 : ffff;
9358 : ffff;
9359 : ffff;
9360 : ffff;
9361 : ffff;
9362 : ffff;
9363 : ffff;
9364 : ffff;
9365 : ffff;
9366 : ffff;
9367 : ffff;
9368 : ffff;
9369 : ffff;
9370 : ffff;
9371 : ffff;
9372 : ffff;
9373 : ffff;
9374 : ffff;
9375 : ffff;
9376 : ffff;
9377 : ffff;
9378 : ffff;
9379 : ffff;
9380 : ffff;
9381 : ffff;
9382 : ffff;
9383 : ffff;
9384 : ffff;
9385 : ffff;
9386 : ffff;
9387 : ffff;
9388 : ffff;
9389 : ffff;
9390 : ffff;
9391 : ffff;
9392 : ffff;
9393 : ffff;
9394 : ffff;
9395 : ffff;
9396 : ffff;
9397 : ffff;
9398 : ffff;
9399 : ffff;
9400 : ffff;
9401 : ffff;
9402 : ffff;
9403 : ffff;
9404 : ffff;
9405 : ffff;
9406 : ffff;
9407 : ffff;
9408 : ffff;
9409 : ffff;
9410 : ffff;
9411 : ffff;
9412 : ffff;
9413 : ffff;
9414 : ffff;
9415 : ffff;
9416 : ffff;
9417 : ffff;
9418 : ffff;
9419 : ffff;
9420 : ffff;
9421 : ffff;
9422 : ffff;
9423 : ffff;
9424 : ffff;
9425 : ffff;
9426 : ffff;
9427 : ffff;
9428 : ffff;
9429 : ffff;
9430 : ffff;
9431 : ffff;
9432 : ffff;
9433 : ffff;
9434 : ffff;
9435 : ffff;
9436 : ffff;
9437 : ffff;
9438 : ffff;
9439 : ffff;
9440 : ffff;
9441 : ffff;
9442 : ffff;
9443 : ffff;
9444 : ffff;
9445 : ffff;
9446 : ffff;
9447 : ffff;
9448 : ffff;
9449 : ffff;
9450 : ffff;
9451 : ffff;
9452 : ffff;
9453 : ffff;
9454 : ffff;
9455 : ffff;
9456 : ffff;
9457 : ffff;
9458 : ffff;
9459 : ffff;
9460 : ffff;
9461 : ffff;
9462 : ffff;
9463 : ffff;
9464 : ffff;
9465 : ffff;
9466 : ffff;
9467 : ffff;
9468 : ffff;
9469 : ffff;
9470 : ffff;
9471 : ffff;
9472 : ffff;
9473 : ffff;
9474 : ffff;
9475 : ffff;
9476 : ffff;
9477 : ffff;
9478 : ffff;
9479 : ffff;
9480 : ffff;
9481 : ffff;
9482 : ffff;
9483 : ffff;
9484 : ffff;
9485 : ffff;
9486 : ffff;
9487 : ffff;
9488 : ffff;
9489 : ffff;
9490 : ffff;
9491 : ffff;
9492 : ffff;
9493 : ffff;
9494 : ffff;
9495 : ffff;
9496 : ffff;
9497 : ffff;
9498 : ffff;
9499 : ffff;
9500 : ffff;
9501 : ffff;
9502 : ffff;
9503 : ffff;
9504 : ffff;
9505 : ffff;
9506 : ffff;
9507 : ffff;
9508 : ffff;
9509 : ffff;
9510 : ffff;
9511 : ffff;
9512 : ffff;
9513 : ffff;
9514 : ffff;
9515 : ffff;
9516 : ffff;
9517 : ffff;
9518 : ffff;
9519 : ffff;
9520 : ffff;
9521 : ffff;
9522 : ffff;
9523 : ffff;
9524 : ffff;
9525 : ffff;
9526 : ffff;
9527 : ffff;
9528 : ffff;
9529 : ffff;
9530 : ffff;
9531 : ffff;
9532 : ffff;
9533 : ffff;
9534 : ffff;
9535 : ffff;
9536 : ffff;
9537 : ffff;
9538 : ffff;
9539 : ffff;
9540 : ffff;
9541 : ffff;
9542 : ffff;
9543 : ffff;
9544 : ffff;
9545 : ffff;
9546 : ffff;
9547 : ffff;
9548 : ffff;
9549 : ffff;
9550 : ffff;
9551 : ffff;
9552 : ffff;
9553 : ffff;
9554 : ffff;
9555 : ffff;
9556 : ffff;
9557 : ffff;
9558 : ffff;
9559 : ffff;
9560 : ffff;
9561 : ffff;
9562 : ffff;
9563 : ffff;
9564 : ffff;
9565 : ffff;
9566 : ffff;
9567 : ffff;
9568 : ffff;
9569 : ffff;
9570 : ffff;
9571 : ffff;
9572 : ffff;
9573 : ffff;
9574 : ffff;
9575 : ffff;
9576 : ffff;
9577 : ffff;
9578 : ffff;
9579 : ffff;
9580 : ffff;
9581 : ffff;
9582 : ffff;
9583 : ffff;
9584 : ffff;
9585 : ffff;
9586 : ffff;
9587 : ffff;
9588 : ffff;
9589 : ffff;
9590 : ffff;
9591 : ffff;
9592 : ffff;
9593 : ffff;
9594 : ffff;
9595 : ffff;
9596 : ffff;
9597 : ffff;
9598 : ffff;
9599 : ffff;
9600 : ffff;
9601 : ffff;
9602 : ffff;
9603 : ffff;
9604 : ffff;
9605 : ffff;
9606 : ffff;
9607 : ffff;
9608 : ffff;
9609 : ffff;
9610 : ffff;
9611 : ffff;
9612 : ffff;
9613 : ffff;
9614 : ffff;
9615 : ffff;
9616 : ffff;
9617 : ffff;
9618 : ffff;
9619 : ffff;
9620 : ffff;
9621 : ffff;
9622 : ffff;
9623 : ffff;
9624 : ffff;
9625 : ffff;
9626 : ffff;
9627 : ffff;
9628 : ffff;
9629 : ffff;
9630 : ffff;
9631 : ffff;
9632 : ffff;
9633 : ffff;
9634 : ffff;
9635 : ffff;
9636 : ffff;
9637 : ffff;
9638 : ffff;
9639 : ffff;
9640 : ffff;
9641 : ffff;
9642 : ffff;
9643 : ffff;
9644 : ffff;
9645 : ffff;
9646 : ffff;
9647 : ffff;
9648 : ffff;
9649 : ffff;
9650 : ffff;
9651 : ffff;
9652 : ffff;
9653 : ffff;
9654 : ffff;
9655 : ffff;
9656 : ffff;
9657 : ffff;
9658 : ffff;
9659 : ffff;
9660 : ffff;
9661 : ffff;
9662 : ffff;
9663 : ffff;
9664 : ffff;
9665 : ffff;
9666 : ffff;
9667 : ffff;
9668 : ffff;
9669 : ffff;
9670 : ffff;
9671 : ffff;
9672 : ffff;
9673 : ffff;
9674 : ffff;
9675 : ffff;
9676 : ffff;
9677 : ffff;
9678 : ffff;
9679 : ffff;
9680 : ffff;
9681 : ffff;
9682 : ffff;
9683 : ffff;
9684 : ffff;
9685 : ffff;
9686 : ffff;
9687 : ffff;
9688 : ffff;
9689 : ffff;
9690 : ffff;
9691 : ffff;
9692 : ffff;
9693 : ffff;
9694 : ffff;
9695 : ffff;
9696 : ffff;
9697 : ffff;
9698 : ffff;
9699 : ffff;
9700 : ffff;
9701 : ffff;
9702 : ffff;
9703 : ffff;
9704 : ffff;
9705 : ffff;
9706 : ffff;
9707 : ffff;
9708 : ffff;
9709 : ffff;
9710 : ffff;
9711 : ffff;
9712 : ffff;
9713 : ffff;
9714 : ffff;
9715 : ffff;
9716 : ffff;
9717 : ffff;
9718 : ffff;
9719 : ffff;
9720 : ffff;
9721 : ffff;
9722 : ffff;
9723 : ffff;
9724 : ffff;
9725 : ffff;
9726 : ffff;
9727 : ffff;
9728 : ffff;
9729 : ffff;
9730 : ffff;
9731 : ffff;
9732 : ffff;
9733 : ffff;
9734 : ffff;
9735 : ffff;
9736 : ffff;
9737 : ffff;
9738 : ffff;
9739 : ffff;
9740 : ffff;
9741 : ffff;
9742 : ffff;
9743 : ffff;
9744 : ffff;
9745 : ffff;
9746 : ffff;
9747 : ffff;
9748 : ffff;
9749 : ffff;
9750 : ffff;
9751 : ffff;
9752 : ffff;
9753 : ffff;
9754 : ffff;
9755 : ffff;
9756 : ffff;
9757 : ffff;
9758 : ffff;
9759 : ffff;
9760 : ffff;
9761 : ffff;
9762 : ffff;
9763 : ffff;
9764 : ffff;
9765 : ffff;
9766 : ffff;
9767 : ffff;
9768 : ffff;
9769 : ffff;
9770 : ffff;
9771 : ffff;
9772 : ffff;
9773 : ffff;
9774 : ffff;
9775 : ffff;
9776 : ffff;
9777 : ffff;
9778 : ffff;
9779 : ffff;
9780 : ffff;
9781 : ffff;
9782 : ffff;
9783 : ffff;
9784 : ffff;
9785 : ffff;
9786 : ffff;
9787 : ffff;
9788 : ffff;
9789 : ffff;
9790 : ffff;
9791 : ffff;
9792 : ffff;
9793 : ffff;
9794 : ffff;
9795 : ffff;
9796 : ffff;
9797 : ffff;
9798 : ffff;
9799 : ffff;
9800 : ffff;
9801 : ffff;
9802 : ffff;
9803 : ffff;
9804 : ffff;
9805 : ffff;
9806 : ffff;
9807 : ffff;
9808 : ffff;
9809 : ffff;
9810 : ffff;
9811 : ffff;
9812 : ffff;
9813 : ffff;
9814 : ffff;
9815 : ffff;
9816 : ffff;
9817 : ffff;
9818 : ffff;
9819 : ffff;
9820 : ffff;
9821 : ffff;
9822 : ffff;
9823 : ffff;
9824 : ffff;
9825 : ffff;
9826 : ffff;
9827 : ffff;
9828 : ffff;
9829 : ffff;
9830 : ffff;
9831 : ffff;
9832 : ffff;
9833 : ffff;
9834 : ffff;
9835 : ffff;
9836 : ffff;
9837 : ffff;
9838 : ffff;
9839 : ffff;
9840 : ffff;
9841 : ffff;
9842 : ffff;
9843 : ffff;
9844 : ffff;
9845 : ffff;
9846 : ffff;
9847 : ffff;
9848 : ffff;
9849 : ffff;
9850 : ffff;
9851 : ffff;
9852 : ffff;
9853 : ffff;
9854 : ffff;
9855 : ffff;
9856 : ffff;
9857 : ffff;
9858 : ffff;
9859 : ffff;
9860 : ffff;
9861 : ffff;
9862 : ffff;
9863 : ffff;
9864 : ffff;
9865 : ffff;
9866 : ffff;
9867 : ffff;
9868 : ffff;
9869 : ffff;
9870 : ffff;
9871 : ffff;
9872 : ffff;
9873 : ffff;
9874 : ffff;
9875 : ffff;
9876 : ffff;
9877 : ffff;
9878 : ffff;
9879 : ffff;
9880 : ffff;
9881 : ffff;
9882 : ffff;
9883 : ffff;
9884 : ffff;
9885 : ffff;
9886 : ffff;
9887 : ffff;
9888 : ffff;
9889 : ffff;
9890 : ffff;
9891 : ffff;
9892 : ffff;
9893 : ffff;
9894 : ffff;
9895 : ffff;
9896 : ffff;
9897 : ffff;
9898 : ffff;
9899 : ffff;
9900 : f800;
9901 : f800;
9902 : f800;
9903 : 0000;
9904 : 0000;
9905 : 421f;
9906 : 838a;
9907 : 2fb8;
9908 : 1dcc;
9909 : 8102;
9910 : 401d;
9911 : 1186;
9912 : 6330;
9913 : 6204;
9914 : a02c;
9915 : 0cd3;
9916 : d047;
9917 : 5920;
9918 : 0191;
9919 : 0000;
9920 : 0000;
9921 : 0000;
9922 : 0000;
9923 : 0000;
9924 : 0000;
9925 : 0000;
9926 : 0000;
9927 : 0000;
9928 : ffff;
9929 : ffff;
9930 : ffff;
9931 : ffff;
9932 : ffff;
9933 : ffff;
9934 : ffff;
9935 : ffff;
9936 : ffff;
9937 : ffff;
9938 : ffff;
9939 : ffff;
9940 : ffff;
9941 : ffff;
9942 : ffff;
9943 : ffff;
9944 : ffff;
9945 : ffff;
9946 : ffff;
9947 : ffff;
9948 : ffff;
9949 : ffff;
9950 : ffff;
9951 : ffff;
9952 : ffff;
9953 : ffff;
9954 : ffff;
9955 : ffff;
9956 : ffff;
9957 : ffff;
9958 : ffff;
9959 : ffff;
9960 : ffff;
9961 : ffff;
9962 : ffff;
9963 : ffff;
9964 : ffff;
9965 : ffff;
9966 : ffff;
9967 : ffff;
9968 : ffff;
9969 : ffff;
9970 : ffff;
9971 : ffff;
9972 : ffff;
9973 : ffff;
9974 : ffff;
9975 : ffff;
9976 : ffff;
9977 : ffff;
9978 : ffff;
9979 : ffff;
9980 : ffff;
9981 : ffff;
9982 : ffff;
9983 : ffff;
9984 : ffff;
9985 : ffff;
9986 : ffff;
9987 : ffff;
9988 : ffff;
9989 : ffff;
9990 : ffff;
9991 : ffff;
9992 : ffff;
9993 : ffff;
9994 : ffff;
9995 : ffff;
9996 : ffff;
9997 : ffff;
9998 : ffff;
9999 : ffff;

END;

 实验现象

  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 24
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 24
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

L风吹起了从前

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值