自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 收藏
  • 关注

原创 数字逻辑实验论文

ANSHUN UNIVERSITY 数字逻辑论文 (2021~2021年) 题 目: CRC检测程序段设计 院 别: 数字与计算机科学与技术学院 专业班级: 计本(1)班2020级 学生姓名: 吴晏朗、杨忠书 学号: 202003024003、202003024034 指导教师: 阳瑞新 职称: 授课老师 起讫日期: 2021年5月——2021年6月 安顺学院数字逻辑论文

2021-07-05 22:42:15 439

原创 数字逻辑实验

一:实验软件 quartus modelsim 二:实验步骤 1,打代码 module mux2to1 (w0,w1,s,f); input w0,w1,s; output reg f; always @(w0,w1,s) if(s==0) f=w0; else f=w1; endmodule 2, function [15:0] shift; input [15:0] data; input [3:0] n; input ct1; begin case (ct1) 1’b1:shift=data<

2021-07-02 13:34:52 461

原创 2021-06-11

实验一:独热码状态机 实验二:SR锁存器延迟模型 实验三:移位除法器模型 实验截图: 实验视频:(包含三个):https://b23.tv/HaY6kG

2021-06-11 19:09:53 98

原创 Modelsim工程仿真

一:实验内容Modelsim工程仿真 二:实验目的:熟练使用Modelsim软件 三:实验软件Modelsim 四:实验步骤 (一)用Modelsim新建一个工程 (二)输入代码编译 (三)编译成功后仿真 五:实验图片 六:实验视频 https://b23.tv/SBRvMN ...

2021-05-28 17:13:59 104

原创 2021-05-21

实验步骤: (1)新建Quartus设计工程,编写程序 (2)编译工程,没有错误就继续 (3)形成TB文件 (4)编辑TB文件 (5)复制文件名,设置simulation (6)用Modelsim仿真 实验截图: 实验视频链接 https://b23.tv/ltth1z ...

2021-05-21 23:17:29 77

原创 实验一

1.实验目的:译码器的门级建模 2.实验内容:进行译码器的门级建模 3.实验原理译码器的门级建模 4.实验工具:Modelsim和Quartus 5.实验截图: 6.实验视频链接 https://b23.tv/LBh2ci

2021-05-21 16:51:08 57

原创 数字电路实验

数字电路实验 一、Quartus与Modelsim的联合仿真。 二、实验目的:熟练运用这两个软件。 三、实验步骤: (一)安装Quartus和Modelsim软件 四:实验截图 五:实验链接 https://b23.tv/IMU6IM ...

2021-05-07 22:49:12 110

原创 数字电路实验

数字电路实验 一、实验内容:4位加法器的门级建模 2-1 二、实验目的:熟练运用quartus和Modelsim的联合运用 三、实验步骤:1用quartus新建工程运行 四、再用Modelsim联合建模 五:实验链接 https://b23.tv/pFcIM8 ...

2021-05-07 22:27:24 93

原创 数字电路实验

数字电路实验 一、实验内容:4位加法器的门级建模 2-1 二、实验目的:熟练运用quartus和Modelsim的联合运用 三、实验步骤:1用quartus新建工程运行 四、再用Modelsim联合建模 五:实验链接 https://b23.tv/Em1pi3 ...

2021-05-07 22:22:16 68

原创 2021-03-15

1.实验目的 下载Quartus2软件和进行图片仿真和代码仿真 2实验内容 对下面图片进行图片和代码仿真 3实验截图 4实验视频 哔哩哔哩视频](https://b23.tv/Vu1d15)

2021-03-15 09:24:41 60

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除