数字电路期末一

1.实验目的:下载Quartus软件与Modlsim并进行Verilog  Modelsim仿真

2.实验内容:参考老师发的视频的代码,然后用Quartus ii 和Modlsim进行仿真

3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。

4.实验工具Quartus软件、Modlsim软件、pc机。
5.实验截图:

6.实验代码:module upcount (R, Resetn, Clock, E,L,Q);input [3:0] R;

input Resetn, Clock, E, L;

output reg [3:0] Q;

always @(negedge Resetn, posedge Clock)if (!Resetn)

Q<=0;

else if(L)

Q<= R;

else if(E)

Q<=Q+ 1;

endmodule

7.实验视频:

8.软件下载网站:

1、Quartus软件下载链接:
https://pan.baidu.com/s/1k_pr6xnKMZyzAh_nyej7yQ 提取码:7330

2、Modelsim 10.x软件下载链接:
https://pan.baidu.com/s/1PoKk4W_SG7Zqp9KNuwLdTQ
提取码:m7ja
 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值