超详细解答DDS(直接数字频率合成)

首先先来看一下DDS的框图,如下所示:

频率字F_WORD部分是用来控制输出波形的频率的,频率字越大,输出波形的频率也越大,那么周期就会越小,因为T=1/F。

相位字P_WORD部分是用来控制输出波形的相位的,也就是波形的左移右移(在这里面是左移)。因为在读波形数据表里面的数据时,读到最后一个后,就会跳到第一个里面去读。

其他的累计寄存器可以认为是时序逻辑,打一拍的操作。

比如说你的ROM里面存了8*4096大小的数据,如下所示:

由上图可知假设是ROM中有4096个存储单元(教学视频中用的4096个单元,即2^12次方),每个单元的存储数据能力是8位的数据。

在一些FPGA教学视频中,往往将频率字F_WORD定义为F_WORD[31:0],即32位的频率字(好像是说计算机最大可用运行内存为4G,也就是刚好2^32次方,我个人认为不一定非得取32,可以取小一点也行)。

假设此时ROM用的是4096个存储单元,那么将频率字F_WORD的高12位定义成ROM的地址(4096)即,ROM_ADDR=F_WORD[31:20]。如下所示:

如果设置F_WORD[19:0]每次自增为2^20,即上图所示的全为1,那么下一次则会让F_WORD[31:20]中的最后地位加1。也就是ROM_ADDR加1,也就是ROM里面的单元加1,此时信号就会输出第2个数据。依次类推,如果,F_WORD[19:0]自增速度慢一些,那么ROM地址改变的也就慢一些,波形周期也就长一些。

P_WORD就是直接等于ROM单元数,直接和F_WORD[31:20]相加,让他先从第几个单元开始输出,一直循环输出。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值