在testbench中常用的保存波形命令如下:
initial begin
$fsdbDumpfile("tb.fsdb");
$fsdbDumpvars();
#1000 $finish;
end
$fsdbDumpvars(depth,instance,options);
其中各个选项的意思:
depth
0:all signals in all scopes.
1:all signals in current scope.
2:all signals in the current scope and all scopes one level below
Options:
+all:dump all signals including memory,MDA,packed array,structure,union,power-relaed,and packed structure.
+mda: dump all memory and MDA signals.
在启动verdi时,可以使用
verdi -sv -f file.list -top tb_top -ssf tb.fsdb &
这样Verdi启动后自动打开波形tb.fsdb文件。