一种定点原码一位乘法器的设计与实现

本文详细介绍了定点原码一位乘法器的设计与实现,包括算法原理、逻辑结构、控制电路和物理实现。通过实例展示了计算过程,并提出了一种具有初始化、运算和停止功能的实现方案,有助于理解和实践计算机组成原理中的二进制乘法运算。
摘要由CSDN通过智能技术生成

【摘要】基于计算机组成原理课程实践环节的建设,以提高学生实践技能为目的,总结教学经验,应用数字电路设计方法与技巧,考虑可行性,设计一种定点原码一位乘法器的实现方案,包含初始化数据,启动、停止运算,显示运算过程等功能,用以指导教学实践。

关键词乘法器、定点原码一位乘法、计算机组成原理、计算机组成与结构

一、引言

在计算机组成原理知识教学过程中,关于二进制乘法运算是一个较难理解的环节,其中又以“定点原码一位乘法算法”是最基础的,针对这一算法设计实验方案,为学员提供实践环境,对深入理解这一问题、以及进一步的学习其他乘法乃至除法算法都十分重要。

二、定点原码一位乘法算法

两个原码数相乘,其乘积的符号为相乘两数符号的异或值,数值则为两数绝对值之积。例如:

[X]=X0X1X2…XnX0为符号

[Y]=Y0Y1Y2…YnY0为符号

[X·Y]=X0⊕Y0|X1X2…Xn·Y1Y2…Yn),符号“|”表示把符号和数值邻接起来。

在计算时,符号位和数值位分别进行计算。对于数值位,逐次按乘数每一位(从低位到高位)上的值是1还是0,决定一个相加数或者是被乘数或者是0,并向左偏移一位去加上次计算得到的和(它又被称为部分积,其初值为0),如此进行乘数位数次,最终得到乘积。在此需要注意的是:“相加数向左偏移一位后求和”和“上次计算得到的和向右偏移一位后求和”两种求和的方法是等效的,设计乘法器时采用后一种方法,其目的是寻求部份积与乘数移位方向的一致性。

例如

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值