Mentor.Graphics.O-in v3.0 Linux 1DVD

Mentor.Graphics.Leonardo.Spectrum.v2012b.Win32 1CD(一款HDL逻辑综合软件)
Mentor.Graphics.Leonardo.Spectrum.v2005a.82.Including.Update1 1CD(一款HDL逻辑综合软件)
Mentor.Graphics.LP.Wizard.v10.5.Win32 1CD(自动制作器件封装库)
Mentor.Graphics.ModelSIM.SE.v10.5.Win64 1CD
Mentor.Graphics.ModelSIM.SE.v10.4.Win64 1CD
Mentor.Graphics.ModelSIM.SE.v10.2c.Win32_64-ISO 2CD
Mentor.Graphics.ModelSIM.SE.v10.2c.Linux32_64 1DVD(VHDL,Verilog和SystemC三种语言的模拟器。兼备高性能与工业高级调试能力)
Mentor.Graphics.ModelSim.SE-64.6.5f.Linux.i386.x86_64 2CD
Mentor.Graphics.Modelsim SE v6.1c Solars-ISO 1CD
Mentor.Graphics.Modelsim 培训手册 1CD
Mentor.Graphics.O-in v3.0 Linux 1DVD
Mentor Graphics Nucleus Source Code 2015.07 Lniux 1CD(Nucleus OS)
Mentor Graphics Olympus-SoC 2014.2 R2 Linux 1CD(布局布线系统)
Mentor Graphics Olympus-SoC v2009.04.R3 LinuxAMD64 1CD
Mentor Graphics PowerPCB v5.0.1 Build 037 1CD
Mentor Graphics Pow
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
 LeonardoSpectrum是Mentor公司出品的一款HDL逻辑综合软件,使应用于通信、宽带、无线及多媒体领域的可编程SoC设计的创新和管理变得更为轻松。   有了LEONARDO SPECTRUM,您即可利用VHDL或是Verilog语言,LeonardoSpectrum是由Mentor Graphics发展,不但操作非常方便,还具备工作站等级ASIC工具的强大控制能力和最优化功能特色。   该软件有三种逻辑综合方式:SynthesisWizard(综合向导)、 Quick Setup(快速完成)、FlowTabs(详细流程)方式。具体采用哪种方式可点击工具栏快捷图标或从Tools菜单中选择   LEONARDO SPECTRUM是非常好的逻辑综合软件,有了 LEONARDO SPECTRUM,您即可利用VHDL或是Verilog语言建立PLD、FPGA和ASIC元件。LeonardoSpectrum是由Mentor Graphics发展,不但操作非常方便,还具备工作站等级ASIC工具的强大控制能力和最优化功能特色。LeonardoSpectrum提供 PowerTabs菜单,工程师面对设计挑战时,可使用其中的先进合成控制选项;除此之外,LeonardoSpectrum也包含强大的调试功能和业界 独有的五路相互探测能力(five-way cross-probing),使您更快完成设计的分析与合成。   Mentor Graphics Leonardo Spectrum提供更好的合成能力   Leonardo Spectrum是Mentor Graphics发展的合成工具,它能协助Atmel客户在一个合成环境中使用VHDL或Verilog语言完成FPGA设计,让他们针对工业控制、通 信、宽频、无线与多媒体等应用市场,更轻易的建立和管理FPSLIC设计。LeonardoSpectrum的操作非常简单,又支持各种复杂设计方式,设 计人员可精密控制他们的FPGA设计,并获得最佳设计结果,满足他们的所有设计需求。   LeonardoSpectrum是Mentor Graphics的子公司Exemplar Logic的专业VHDL/Verilog HDL综合软件,简单易用,可控性较强,可以在LeonardoSpectrum中综合优化并产生EDIF文件,作为QuartusII的编译输入。该软 件有三种逻辑综合方式:Synthesis Wizard(综合向导)、Quick Setup(快速完成)、Advanced FlowTabs(详细流程)方式。三种方式完成的功能基本相同。Synthesis Wizard方式最简单,Advanced FlowTabs方式则最全面,该方式有六个选项单,如图所示,分别完成以下功能:器件选择、设计文件输入、约束条件指定、优化选择、输出网表文件设置及 选择调用布局布线工具。   以上每步操作都提供相应的帮助,简单明了。需要注意的是,在输入设计文件时要正确排列文件的次序,将底层文件放在前面,顶 层文件放到后面,这样LeonardoSpectrum软件才能正确地建立数据信息库。综合完成后,可以将输出网表文件 (.EDF)作为MAX+PLUS II或Quartus II的设计输入文件,再完成编译、仿真、定时分析和器件编程等步骤,完成整个系统的设计过程。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值