UVM:8.1.1 任务与函数的重载

177 篇文章 141 订阅

1.重载:父类中的virtual ,可以在子类中重载:


1)print_hungry 能接收的函数类型是bird。第一个打印为:


2)第二个打印:


调用hungry 时,被隐式转换成bird 类型。hungry 是虚函数,即使是bird 类型,打印出来的还是parrot。但是hungry 不是虚函数,打印的就是最原始的bird。


2.最典型的莫过于各个phase。以 build_phase 为例实际如下:


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值