UVM:9.3.1 参数化类的必要性

177 篇文章 141 订阅

1.凡是某个项目开发的代码用于其它项目,都可以称为重用。

2.增加重用性,参数化的类是很好的选择。使用最多的是uvm_sequence,原型:(还有uvm_analysis_port)



3.参数化的类定义时有些复杂。很多没有参数,就不用定义成参数化的类。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值