自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

米联客(milianke)

FPGA开发

  • 博客(189)
  • 收藏
  • 关注

原创 [米联客-安路-EG4D] FPGA基础篇连载-26 ADC模块FEP-DAQ9248采集显示波形方案

本文主要介绍了如何使用AD9248完成FPGA简易示波器。本方案通过把DAQ9248采集到的数据,通过前面已经完成的示波器显示驱动进行在屏幕上显示ADC采集的波形数据。介绍了AD9246的工作原理及引脚功能,再次验证FPGA驱动HDMI显示,并完成硬件测试。

2024-09-19 11:48:26 1

原创 [米联客-安路-EG4D] FPGA基础篇连载-25 基于FPGA简易示波器显示驱动设计

在本文中,米联客完成了基于FPGA的一款简易示波器显示驱动设计,该基于FPGA的示波器驱动目前只能以描点的方式进行显示,简单的展示采集的数据。在本例中,我们用简单的三角波程序代替实际的AD采样,仅作为演示。

2024-09-19 11:45:40

原创 [米联客-安路-EG4D] FPGA基础篇连载-24 RGB转HDMI显示方案

本文通过FPGA内部资源实现HDMI协议,使用HDMI直接驱动HDMI接口显示器,这是成本非常低廉的一种方案,可以实现HDMI输出1080P@60fps的视频图像。本实验需要用到前面课程中的VTC模块产生视频时序,以及TPG模块产生测试图形,只使用 HDMI 接口来显示图像,没有传输音频。关于VTC视频时序参数的设置,读者可以阅读前面VTC相关的课程内容。

2024-09-19 11:33:55 162

原创 [米联客-安路-EG4D] FPGA基础篇连载-23 TPG图像测试数据发生器设计

TPG(video_test_pattern generator) 视频测试模式发生器用于产生测试数据,对视频数据通路测试。本文设计了一个图像数据发生器,该模块能够产生不同颜色和样式的图像数据,并按顺序将RGB图像数据发送到有效显示区域。

2024-09-19 11:29:50 114

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-09PCIE 图像采集卡 HDMI 输入

本方案使用自定义AXI4 IP FDMA 实现视频的采集和播放,关于FDMA的详细教程可以参考“米联客2024版FPGA数据缓存方案(PL-AXI-FDMA)”部分教程内容。本方案实现了开发板HDMI视频采集后在PC上位机显示的功能。HDMI输入方案采用ADV7611,I2C的寄存器配置采用FPGA配置,已经封装成源码,方便大家使用。本方案的图片采集用到了XDMA的中断。

2024-09-19 08:57:54 99

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-08PCIE 数据卡 DDR 缓存中断采集

上一个例子演示了用BRAM作为数据缓存,显然板卡的BRAM容量非常有限,如果需要更大量数据的缓存就得用到DDR作为缓存。本方案实现的功能和上一篇文章中BRAM缓存的方式一样。使用DDR缓存具有更多的应用场景,比如视频图像的缓存等。本方案中也用到了XMDA的中断方式采集数据。

2024-09-19 08:57:19 158

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-07PCIE 数据卡 BRAM 缓存中断采集

在方案中,使用基于AXI4实现的FDMA来实现数据的缓存。通过切换缓存的地址,实现2帧以上缓存数据的读取。这种构架更加方便高效。帧的管理,使用到了PCIE中断。本方案中缓存使用了FPGA芯片自带的BRAM,可以在目前硬件配置最低的MA703FA-35T上实现本方案。

2024-09-19 08:56:37 465

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-06PCIE 的 GPIO 控制卡

还记得2008年左右刚刚参加工作,买一个简单的PCI的DAQ数据卡,或者IO卡都要好几千元。市面上主要是研华,凌华的数据卡。现在我们也可以用PCIE做出更好的数据卡了。那么本节课就是设计一个GPIO的IO卡方案。

2024-09-19 08:56:06 72

原创 [米联客-安路-EG4D] FPGA基础篇连载-22 VTC视频时序控制器设计

本文介绍了视频传输及时序控制相关内容,以 VGA 为切入点,学习视频传输的基本知识和相关概念,以及视频时序的控制器的相关内容。Video Timing Controller 缩写VTC是我们在所有涉及FPGA图像、FPGA视频类方案中经常用到的一种用于产生视频时序的控制器。

2024-09-18 18:53:53 674

原创 [米联客-安路-EG4D] FPGA基础篇连载-21读写I2C接口的RTC时钟芯片

本文继续利用I2C总线控制器实现对RTC时钟芯片DS1337的读写访问,能够实现数码管显示时钟数据,进一步验证我们设计的i2c控制器的可靠性。

2024-09-18 18:53:15 685

原创 [米联客-安路-EG4D] FPGA基础篇连载-20读写I2C接口EEPROM实验

本实验使用米联客的uii2c控制器实现对EEPROM的访问。前面的课程中,我们学习了I2C总线协议,以及介绍了米联客I2C Master控制器的实现原理、内部状态机、I2C时序产生、外部控制接口。本文开始,后面所涉及的I2C总线相关内容都会使用该控制器实现。

2024-09-18 18:52:19 313

原创 [米联客-安路-EG4D] FPGA基础篇连载-19 I2C MASTER控制器驱动设计

本文介绍了I2C_Master控制器驱动设计,完成了代码编写并对模块设计进行了详细阐述。I2C Master控制器主要包含I2C收发数据状态机,SCL时钟分频器、发送移位模块、接收移位模块、空闲控制忙指示模块。SCL和SDA的输出逻辑和时序通过SCL和I2C状态机控制。

2024-09-18 18:49:06 460

原创 [米联客-安路-EG4D] FPGA基础篇连载-18 I2C通信协议原理

本文主要介绍了I2C通信协议。I2C总线具备广泛的用途,比如寄存器的配置,EEPROM的使用,更重要的是I2C总线上可以挂载非常多的外设。对于一些低速器件的访问非常节省IO资源,由于是标准的总线接口,使用起来非常方便。I2C总线是OC开路,支持双向传输,主要用于低速设备通信,总线上需要上拉电阻。

2024-09-18 18:47:07 515

原创 [米联客-安路-EG4D] FPGA基础篇连载-17 SPI 驱动HC595点亮数码管

前面完成了SPI通信FPGA的收发程序,本章节将展示SPI接口的应用,使用SPI接口的74HC595实现数据的串并转换,并且动态点亮数码管。通过对74HC595芯片的内部结构、接口信号,以及驱动时序的分析,掌握如何通过SPI通信接口来控制SPI 接口的芯片。

2024-09-18 18:46:35 752

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-05QT 上位机读写 FPGA 内存

如果读者对于前面demo还有一些疑惑,那么本节课的内容,可以让你更加简单地弄明白什么是BAR地址空间操作,什么是对开发板DDR内存地址空间操作。如果你掌握了如何通过XDMA读写BAR地址空间和DMA 内存地址空间操作,那么基于XMDA的所有原理性设计都会变的so easy!

2024-09-18 10:00:09 143

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-04PCIE 测速码表程序

经过前面章节的学习,如果读者应该已经掌握了PCIE XDMA方案的使用,那么我们知道QT可以设计出华丽的界面,那么本章就是设计一个简单的测速码表程序,比起前面的章节测试,这个小程序界面非常酷。

2024-09-18 09:59:04 258

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-03基于XDMA实现PCIE通信方案

本方案基于XDMA IP搭建FPGA工程,并且以本课中已经编译好的驱动和测试程序为演示demo。本方案内容作为通用的教程内容,适合XILINX各类支持PCIE通信的板卡。并且米联客在XDMA中使用了自己编写的FDMA控制IP,可以简单方便的完成数据之间的交换。

2024-09-18 09:58:16 359

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-02QT 软件安装

对于具有人机交互的功能软件,我们通常称为上位机,上位机通常运行于PC上,下面介绍qt软件的安装。

2024-09-18 09:57:32 182

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_linux)连载-01 Ubuntu 系统的安装

基于XILINX XDMA的开发应用环境需要用到以下软件或者开发包:ubuntu、qt。环境的搭建对于后续的开发至关重要。请确保你的电脑可以联网,因为很多安装包依赖互联网,如果你的电脑无法联网,可能无法完成环境的搭建。用户在我们提供的网盘里面下载ubuntu、qt软件开发包。

2024-09-18 09:56:14 144

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-15ZYNQ PCIE 程序的固化

ZYNQ 的QSPI程序固化需要使用到PS部分的参与,并且对ZYNQ IP进行正确设置。由于PS加载速度较慢,因此目前无法满足开机200MS内加载完毕,所以为了确保PC可以正常识别到开发板,需要先给开发板通电,然后再给PC通电。

2024-09-14 13:40:57 420

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-14PCIE与ZYNQ PS数据交互方案

有一些网友跟我提出需要让PS做一些加密算法,PCIE把需要加密的数据发送给下位机,也就是PS的ARM ,ARM计算后,再把数据发送给PCIE。为了正确演示这个数据通路,我们以上位机发送图片数据到开发板的PS,然后PS的ARM对部分数据修改后发送给PCIE上位机。本方案演示PCIE数据和PS DDR数据的交互方案。

2024-09-14 13:40:23 402

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-13PCIE与PL数据交互加速构架方案

FPGA硬件加速是FPGA的重要应用,基于PCIE的硬件加速需要通过PC上位机把需要计算的数据PCIE接口发送给FPGA,FPGA做完运算后,再传送给PC。米联客FPGAPCIE相关教程主要为解决用户对于基于FPGA的PCIE的方案接口应用。本方案提供了一种基于PCIE的可以用于加速的算法的通信构架,在这套构架下,用户可以快速搭建自己的加速应用方案。

2024-09-14 13:39:49 827

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-12PCIE实现同屏输出到HDMI显示器

和前面关于”PCIE图片输出到HDMI显示器”的内容基本一致,本方案通过上位机的抓屏功能,把屏幕的图像数据实时发送到开发板,开发板FPGA程序从开发板的DDR中实时读取图像数据输出到显示器就能实现同屏功能了。本方案的FPGA代码和”PCIE图片输出到HDMI显示器”一文的FPGA代码一样。

2024-09-14 13:39:07 266

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-11PCIE 图片输出到HDMI 显示器

前面的例子相对来说使用了中断采集数据比较复杂一些,本方案实现把电脑上的图片发送给开发板,让开发板的HDMI输出接收到的图片数据。这个方案可以做一些简单的展示屏,广告屏。

2024-09-14 13:38:27 371

原创 [米联客-安路-EG4D] FPGA基础篇连载-16 SPI LOOP环路实验

前面完成了SPI发送驱动程序、SPI接收驱动程序设计,本文对SPI收发驱动进行环路测试,通过仿真,以及使用ChipWatcher验证SPI的收发应用。本文将在前面课程的基础上完成,包括采用前面课程编写SPI发送驱动和接收驱动。

2024-09-13 14:21:38 873

原创 [米联客-安路-EG4D] FPGA基础篇连载-15 SPI接收程序设计

本文主要介绍并完成了SPI接收器程序设计。SPI的接收器驱动程序主要为SPI_CLK和SPI_RX接收数据总线的时序来设计。通过前面的SPI协议学习,我们这里设计的SPI驱动程序需要支持CPHA、CPOL分别取0或1的四种情况。

2024-09-13 14:07:29 934

原创 [米联客-安路-EG4D] FPGA基础篇连载-14 SPI MASTER发送程序设计

本文主要介绍了SPI_Master发送器程序设计。SPI的发送器驱动程序主要围绕SPI_MOSI以及SPI_SCLK来设计。通过前面的SPI协议学习,我们这里设计的SPI驱动程序需要支持CPHA、CPOL分别取0或1的四种情况。CPHA用于控制SPI接收器的采样时钟位置,CPOL用于设置SPI_SCLK的初始电平是高电平还是低电平。

2024-09-13 13:58:25 717

原创 [米联客-安路-EG4D] FPGA基础篇连载-13 SPI通信协议原理

本文主要介绍了SPI通信协议相关知识。SPI(Serial Peripheral Interface,串行外围设备接口)通讯协议是一种高速、全双工、同步通信总线,在芯片中只占用四根管脚用来控制及数据传输,广泛用于 EEPROM、Flash、RTC(实时时钟)、ADC(数模转换器)、DSP(数字信号处理器)以及数字信号解码器上,是常用的、重要的低速通讯协议之一。

2024-09-13 13:42:35 1304

原创 [米联客-安路-EG4D] FPGA基础篇连载-12串口程序收发环路设计

前面两章完成了发送程序的测试,成功给PC主机发送了“HELLO FPGA”的信息,以及接收程序的仿真。本章将串口UART接收程序以及发送程序连接起来,实现PC端通过USB发送数据FPGA完成数据接收后将接收到的数据再通过发送程序返回PC机,即实现了UART串口通信环路测试。

2024-09-13 13:33:45 849

原创 [米联客-安路-EG4D] FPGA基础篇连载-11 UART串口接收驱动设计

本文主要介绍了UART串口及其硬件电路设计,帮助读者了解UART接收时序,完成了UART接收驱动设计,实现了FPGA通过UART接收数据并完成仿真。

2024-09-13 13:21:27 1167

原创 [米联客-安路-EG4D] FPGA基础篇连载-10 UART串口发送驱动设计

本章将学习 UART 通信的原理及其硬件电路设计,并使用FPGA来实现UART串口发送控制器的设计,实现主程序中调用串口发送控制器发送字符“HELLO FPGA”。

2024-09-13 12:57:32 945

原创 [米联客-安路-EG4D] FPGA基础篇连载-09 UART串行通信协议介绍

本文铺垫了通信类型等概念,对UART串行通信协议进行了基本介绍,旨在帮助读者更好地理解UART串口通信相关概念,如通信格式、波特率、数据位校验、接口类型等。

2024-09-13 12:38:31 1269

原创 [米联客-安路-EG4D] FPGA基础篇连载-08 FPGA多路分频器实验

本文主要介绍了FPGA分频器的实现。在数字系统的设计中经常会碰到需要使用多个时钟的情况,这就需要对输入时钟进行分频从而得到多种时钟。本章节完成了二分频、四分频、八分频、三分频以及2Hz的多路分频时钟,并上板验证。

2024-09-13 12:24:51 1145

原创 [米联客-安路-EG4D] FPGA基础篇连载-07 FPGA按键消抖实验

本文主要介绍了通过FPGA对按键实现消抖。按键在闭合或松开瞬间伴随一连串抖动,会影响设计系统的稳定性,降低响应准确度。本章节中,我们根据机械按键的构造和原理,设计并实现消抖功能。

2024-09-13 11:59:31 867

原创 [米联客-安路-EG4D] FPGA基础篇连载-06 PWM呼吸灯实验

本文介绍了在FPGA上实现呼吸灯。呼吸灯在很多设备上可以看到,一般呼吸灯的亮灭周期是2S,呼吸灯的亮度可以通过PWM来调节。本文实现一个实用的小程序,读者完全可以自己编写代码,并且通过仿真测试代码的正确性,然后下载到开发板看实际运行效果。

2024-09-13 11:10:22 795

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-10PCIE 摄像头图像采集卡

本方案使用自定义AXI4 IP FDMA 实现摄像头图像采集和播放,本方案学习内容和 “PCIE图像采集卡HDMI输入”基本一致。本方案最后一共了一个双目采集的FPGA工程,以实现PCIE接口的双目视频采集方案,上位机无需修改。

2024-09-13 11:04:54 375

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-09PCIE 图像采集卡 HDMI 输入

本方案使用自定义AXI4IPFDMA实现视频的采集和播放,关于FDMA的详细教程可以参考“米联客2024版FPGA数据缓存方案(PL-AXI-FDMA)”部分教程内容。本方案实现了开发板HDMI视频采集后在PC上位机显示的功能。HDMI输入方案采用ADV7611,I2C的寄存器配置采用FPGA配置,已经封装成源码,方便大家使用。本方案的图片采集用到了XDMA的中断。

2024-09-13 11:02:39 270

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-08PCIE数据卡DDR缓存中断采集

上一个例子演示了用BRAM作为数据缓存,显然板卡的BRAM容量非常有限,如果需要更大量数据的缓存就得用到DDR作为缓存。本方案实现的功能和上一篇文章中BRAM缓存的方式一样。使用DDR缓存具有更多的应用场景,比如视频图像的缓存等。本方案中也用到了XMDA的中断方式采集数据。

2024-09-13 11:01:55 258

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-07PCIE数据卡BRAM缓存中断采集

在方案中,使用基于AXI4实现的FDMA来实现数据的缓存。通过切换缓存的地址,实现2帧以上缓存数据的读取。这种构架更加方便高效。帧的管理,使用到了PCIE中断,本方案中缓存使用了FPGA芯片自带的BRAM。

2024-09-13 11:01:22 636

原创 [米联客-XILINX-H3_CZ08_7100] FPGA_PCIE通信方案(XDMA_win)连载-06PCIE的GPIO控制卡

还记得2008年左右刚刚参加工作,买一个简单的PCI的DAQ数据卡,或者IO卡都要好几千元。市面上主要是研华,凌华的数据卡。现在我们也可以用PCIE做出更好的数据卡了。那么本节课就是设计一个GPIO的IO卡方案。

2024-09-13 11:00:50 267

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除