[临时]sv:interface个人理解

下述纯属个人笔记;具体还需要验证思想。

interface个人推荐写法

interface ubus_if(input clk,input rstn);
    logic [31:0] data;
    logic valid;
    logic write;
    logic read;
    logic [31:0] addr;
    logic ready;
    .....

    //driver、monitor、sequencer里都会用到这组interface;
    //所以推荐使用三个时钟块和三个modport划分。
    //这样,就可以区分input/output端口方向;同样可以定义相关功能的测试时钟域。
    clocking 
    endclocking
endinterface

问题1:为什么clk和rstn要写在括号里?

个人理解:
1. clk和rstn,一般只在testbench里定义驱动。所以不需要写在interface代码段里,可以减少不必要的接口层次。
2. clk和rstn,一般只在testbench里定义驱动。interface的clk和rstn,只会做input,很固定。

问题2:如果clk和rstn写在括号里,那么在driver、monitor里,怎样使用这两个变量?

个人理解:
1. clk和rstn,虽然跟interface的其它端口,定义的位置不一样。但是在仿真环境里,同样具有interface实例化.clk和interface实例化.rstn的信号。
2. interface实例化.clk不建议使用,要用时钟块的名称替换。这样的好处,是避免发生仿真的竞争冒险现象。这样,就不需要写#0.01这样的小延迟在驱动代码里了。
3. rstn一般在testbench里驱动,为什么不是rstn释放后,再调用run_test()?回答:run_test()是按照phase执行的,有严格的顺序;rstn一般在driver的main_phase里做判断。rstn释放,一般在testbench里驱动。

  • 3
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SV中的interface是一种用于设计和验证的工具。它可以定义端口,传递信号,并在硬件和软件环境中起到连接的作用。与module相似,interface可以使用initial和always语句,也可以定义function和task。它的优势在于将相关信号封装在同一个接口中,使得设计和验证更加方便维护和使用。接口可以被例化,以实现对多组相同总线的灵活使用。在定义interface时,可以只定义公共信号如时钟和复位,或者在变量列表中定义与DUT和TB连接的logic变量。interface也可以通过参数化方式提高复用性。需要注意的是,interface不能例化模块,但可以例化其他interface。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [SV中的接口](https://blog.csdn.net/qq_39794062/article/details/113378754)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [SV学习小记(2) interface、clocking、program](https://blog.csdn.net/qq_43796199/article/details/123396174)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值