[AX301][verilog]数码管控制

练习1:


结果文件:https://download.csdn.net/download/u012135070/10292227


复现(<<四则运算小计算器设计过程实录>>第一章):

    【值得注意:AX301中的数码管的片选信号SEL是低电平有效】

    6位数码管全显示为1时(片选信号SEL扫描):

module display0(clk,reset_n,select,segment,clk_slow);
	input clk;
	input reset_n;
	output	reg 	[5:0] 	select;
	output 	wire	[7:0] 	segment;
	output clk_slow;
	
	reg	[15:0]	counter;
	reg	clk_slow;
	
	//计数模块
	always @(posedge clk	or negedge reset_n)
	begin
		if (!reset_n)
			counter <= 0;
		else 
			counter <= counter + 16'b1;
	end 
	
	//分频模块
	always @(posedge clk)
	begin 
		clk_slow <=	counter[10];
	end
	
	//分配单个数码管上的显示模式
	assign segment = 8'b11111001;
	
	
  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值