自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(26)
  • 资源 (1)
  • 收藏
  • 关注

原创 Linux常用命令

1.ls(list,列表)ls -a(显示所有文件,包括隐藏文件)ls -l(以详细信息显示)ls -a -l,ls -l -a,ls -al,ls -la均表示(显示所有文件的详细信息)man(查询man手册,获取帮助信息)man  1 ls  1表示查询的是linux命令man  2 xx  2表示查询的是linux apiman  3 xx  3表示查询的是C 库...

2018-09-27 01:29:37 98

转载 Altera中rom的使用

一、ROM简介       由于工作需要,需要持续发送一些固定数据,所以需要使用ROM,在quartus中,ROM可以分为两类,其一为单端口,其二为双端口,如下图所示:ROM是一种嵌入式memory,在实际中只能对其进行读数据,而不能写数据,而ROM中的数据是在生成IP时候进行配置的。在ROM初始化时候,可以选择两种数据,其一为mif文件,其二为hex文件。       而mif和h...

2018-09-16 14:32:43 4829 1

原创 modelsim仿真错误

1,** Error: E:\Quartus\Project\top_down_led\led\sim\led_flow.v(20): near "EOF": syntax error, unexpected end of source code译为“EOF”附近:语法错误,源代码意外结束。加endmodule后OK。2.Error: Top-level design entity "te...

2018-09-15 17:47:44 9437

原创 例化的方法

//例化的方法//原始模块的名字      例化的名字(可以自定义)ex_cnt    ex_cnt_inst(  .sclk(tb_sclk),   //.原始信号的名字(例化信号的名字))  例化模块的在最外层,被例化的模块在最里层...

2018-09-15 16:27:00 3460

转载 关于锁相环(PLL)必须要知道的事

一、锁相环组成锁相环一般由三部分组成压控振荡器、滤波器和鉴相器。最终使得输入和输出两个频率同步,且具有稳定的相位差。二、锁相环作用用来把输入的时钟频率进行倍频。三、锁相环各个部分介绍压控振荡器:电压变化控制输出的振荡器,输入电压越高,输出频率越大!鉴相器:鉴定两个输入波形的相位,输出占空比稳定的波形。滤波器:把鉴相器输出的或高或低的方波电压,经过滤波器变成平稳的直流...

2018-09-15 15:43:36 2151

转载 Altera PLL IP核四种工作模式介绍

在例化PLL IP核时,有四种工作模式: 1、 标准模式 在标准模式下,PLL对GCLK网络所产生的延迟进行完全补偿。标准模式中的内部时钟是与输入时钟管脚相位对齐的。在此模式中,外部时钟输出管脚会产生相对于时钟输入管脚的相位延迟。因此,这种模式一般用于产生FPGA内部用时钟,但一般不将时钟输出给FPGA外部使用。 标准模式下PLL时钟之间的相位关系如下图所示。 2、源同步模式 如果数据和...

2018-09-15 15:38:12 1467

原创 modelsim仿真带IP核(PLL)的方法

单击“simulation”菜单栏下的“start simulation”,在弹出的对话框中点击“Libraries”,添加两个库路径,220model 以及 altera_mf,其路径具体在“D:\altera\11.0\11.0_modelsim_ase_windows\modelsim_ase\altera\verilog”下。 1.仿真时需要添加altera_mf.v文件。2....

2018-09-13 01:05:07 2500 1

原创 pll_inst.v和pll_bb.v是什么

pll_inst.v的描述是 instantiation template file也就是实例化模板文件pll_bb.v的描述是Verilog HDL black-box file将你的设计制作成BlackBox,也就是网表文件,这样别人看不到你的设计但是可以调用你的模块了。详细的参考信息如下:1. 什么是BlackBox- 一个大的设计中可以用到一系列网表文件作为输入的一部分而并不全...

2018-09-13 00:02:29 2189

原创 如何把好几个.v文件放到同一个quartus工程里

打开或新建一个工程后 选择菜单Project -> Add/Remove Files in Project浏览到那些文件 选中后点Add 这样就添加到同一个project里 之后需要编译

2018-09-12 00:51:07 26894

原创 在Quartus II里查看综合器生成的原理图

输入代码,选择Processing > start > Analysis & Elaboration Tools > Netlist viewer > RTL viewer

2018-09-10 22:03:17 14779

原创 Verilog语法总结

1.阻塞赋值(=)与非阻塞赋值(<=)的区别一条非阻塞过程赋值语句对应的赋值操作执行完之前,下一语句也可以开始执行。各条阻塞型过程赋值语句将以他们在顺序块中的排列次序得到执行。2.关系运算符关系运算符的优先级比算数运算符的优先级低..3.缩减运算缩减运算的过程:第一步将操作数的第一位与第二位进行与或非运算,第二步将运算结果与第三位进行与或非运算,依次类推,直到最后一位...

2018-09-10 07:30:48 826

转载 电源纹波测试的正确方法

某用户在用500MHz带宽的示波器对其开关电源输出5V信号的纹波进行测试时,发现纹波和噪声的峰峰值达到了900多mV(如下图所示),而其开关电源标称的纹波的峰峰值<20mv。虽然用户电路板上后级还有LDO对开关电源的这个输出再进行稳压,但用户认为测得的这个结果过大,不太可信,希望找出问题所在。问题分析电源纹波测试过大的问题通常和使用的探头以及前端的连接方式有关。首先检查了用户探头...

2018-09-08 11:06:21 30764

原创 负电压带负载测试注意事项

在测试负电压时,开始将负电压-6.3V接到电子负载的+端,板子的GND接到电子负载的负端,结果电源负电压保护,之后把负电压-6.3V接到电子负载的-端,板子的GND接到电子负载的+端,带载正常。仔细观察发现电子负载上面写着之有正的电流,没有负的电流。...

2018-09-08 00:32:06 1817

原创 modelsim如何查看内部的信号

开始一直在想是不是在写testbench时需要把内部信号“拉”出来,后来在网上查了一些资料看了一下书是不需要的,testbench只需要把模块的输入和输出表示既可。仿真时需要看内部信号只需要软件设置既可。在界面的Objects中,单击右键,Add—>To Wave—>Signals in Design。开始单击Simulate—>run—>run all。开始仿真即可。...

2018-09-08 00:14:10 3708 2

转载 去掉一个数组里的最大值与最小值,求数组元素的平均值

去掉一个数组里的最大值与最小值,求数组元素的平均值。函数接口为:float avescore(float score[] ,int n)解析:此题比较简单,循环一遍,记下数组的最大值与最小值以及总和,然后在求的总和里面减掉最大值与最小值,再求平均数即可,时间复杂度为O(n)   // test1.cpp : Defines the entry point for the cons...

2018-09-06 16:29:23 16343 1

原创 C语言程序调试

把一个函数返回值为float类型的数,赋给一个整数的数组,一直调试有问题,将数组的类型改为float类型即可。

2018-09-06 16:27:14 2608

转载 ModelSim入门及Testbench编写——合理利用仿真才是王道

现在就开始一步步入手ModelSim,并通过与Quartus无缝衔接实现仿真。本文使用了ModelSim10.0c + QuartusII 10.0,其他版本基本雷同,请自行研究。看不清图的点开看大图!1.设置第三方EDA工具在Tools -> Options中设置ModelSim的安装路径,注意要设置到win32文件夹(64位软件对应的就是win64)。 建立一个工...

2018-09-06 09:43:18 4417 1

转载 Modelsim仿真过程(完整版)

Modelsim仿真没有想象的那么难,我一直没想着仔细研究一下,本来想着请教别人的,但是最后还是决定找资料,自己好好做一下。我原先都是调试C语言程序,然后直接用硬件验证的,没有注意到仿真的重要性。在FPGA上面,仿真占了很大的一部分。在我们实际验证之前,就采用仿真来排除可能出现的错误,能够节省很多时间。仿真过程中也可以让我们更加深入的思考所设计的系统。因此Modelsim就显得很重要了。最...

2018-09-06 09:30:20 41308 5

转载 Modelsim仿真如何查看内部信号

Modelsim仿真如何查看内部信号一般Modelsim看的信号波形都是test bench中定义的默认信号。有时候需要看模块内部信号。可以选择sim窗口,查看internal,一般选择需要查看的信号在Objects窗口出现的信号,根据需要直接拖到Wave窗口即可。还可以采用的方法是在Transcript窗口输入命令add wave /testbench_name/design_u...

2018-09-06 09:22:13 10063 2

转载 Verilog任意整数分频电路

  //`define N 5 module div_N ( input CLK, // 基准时钟 output CLK_div_N, // N分频后得到的时钟 input rst ); wire [31:0] N=5; // ★ N为分频系数,N≥2即可,N的值为CLK除以CLK_div_N后取整(四舍五入)   ...

2018-09-05 00:55:20 898

转载 【转载】移位实现的乘除法

移位实现的乘除法移位实现的乘除法比直接乘除的效率高很多。用移位实现乘除法运算   a=a*4;   b=b/4;   可以改为:   a=a<<2;   b=b>>2;   说明:   除2 = 右移1位 乘2 = 左移1位   除4 = 右移2位 乘4 = 左移2位   除8 = 右移3位 乘8 = 左移3位   ... ...   通常如果...

2018-09-03 21:10:53 2782

原创 KEIL4 mdk破解

2018-09-03 17:29:52 4417

转载 【转载】mdk 使用--C99标准的问题

mdk中设置c99的方法如下图所示,在红色框中加上--C99即可支持-C99标准。

2018-09-03 17:26:01 1468

原创 英语短语

 Hot-Swap 热插拔,带电插拔Inrush Current 涌浪电流use instance(prefereed)使用实例(首选)use occurrences使用事件debounce消抖deserilizer串并转换

2018-09-03 09:39:39 653

转载 【转载】关于can't launch the modelsim software的问题解决

解决方法:step1:选择路径 Quartus——>tool——>Options—>gengral—>EDA tool Options选择modelsim的安装路径里面的win32或win64step2: Assignments—>settings—>simulation—>Tool name—>modelsim或则modelsim Altera...

2018-09-02 23:47:17 15213 1

转载 【转载】QuartusII软件异常:Error: Top-level design entity " " is undefined

使用Quartus进行数字电路设计时,遇到了下面的编译错误:Info: *******************************************************************Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 11.0 Build 157 04/27/2...

2018-09-02 23:12:38 42270 6

Proteus PCB

如何用Proteus制作PCB,效果不错相当给力

2014-06-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除