采用GUI编译xilinx的库文件

在设计的功能仿真时,ModelSim需要知道这些元件或IP的仿真模型。而且即使我们的设计没有直接使用Xilinx预先提供的元件或IP,在进行时序仿真的时候,ModelSim仍然需要知道Xilinx FPGA内部元件的仿真模型。因此,根据ModelSim仿真库的策略,我们可以将Xilinx提供的HDL仿真模型编译成一个资源库,这样我们在作不同的设计的时候,就可以直接使用相同的资源库.

    modelsim编译Xilinx的库分为DOS下compxlib命令法和compxlib GUI向导法.

    DOS下comxlib命令法:在DOS下输入  compxlib -s mti_se -p D:\modeltech_6.6d\win32 -l all -arch all -lib all -w -dir D:\modeltech_6.6d\xilinx_lib  至于compxlib命令的详细用法在本博客compxlib命令博文中引用经典加以说明.此处会经常出现两个问题,一个是说compxlib不是内部命令或外部命令.此时需要在输入compxlib命令之前在DOS中set path到ISE软件安装路径下compxlib报告文件所在处(例如D:\Xilinx13.1\ISE_DS\ISE\bin\nt),再输入上一句就不会用此问题了.再一个问题是如果没有输入-p D:\modeltech_6.6d\win32部分的话会出现COMPXLIB[sim]: Unable to automatically find executables for simulator 'mti_se'" error. 是因为在默认路径下不能找到simulator executables,故需要用-p来指定仿真器可执行文件存在的路径.一般指向modelsim安装路径下的win32文件夹.按以上方法即可成功编译,需要耐心等待.

  compxlib GUI向导法(推荐):在DOS中set path后输入compxlibgui可出现界面(直接在ISE中打开也可以,也可以在ISE安装文件夹中打开compxlib应用程序).在其中Simulator Executable Location空处选择modelsim安装路径下的win32文件夹(例如 D:\modeltech_6.6d\win32).

    按下四个next之后在Output directory for compiled libraries空处选择Modelsim安装文件夹中新建的xilinx_lib文件夹(例如D:\modeltech_6.6d\xilinx_lib,其中xilinx_lib文件夹是之前新建的).

其它选择都可以默认,等待一段时间即可完成编译.


    以上为Modelsim 6.6d安装之后编译xilinx库的方法.

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值