自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 资源 (13)
  • 收藏
  • 关注

原创 xilinx block design 导出、导入

IP配置会有变化,导致整个工程工作失败,遇到Processor System Reset配置发生变化,导致reset出现问题。

2024-05-17 21:45:29 132

原创 Xilinx MIG PHY Calibration 仿真方法

目录1. 建立MIG example design工程2. 去掉microblaze_mcs_0.sv 的simulation选项: 3. 去掉microblaze_mcs_0.sv 的simulation选项:3. 设置综合用ddr4_0_microblaze_mcs.v文件仿真可用:4. calibration_ddr.elf 增加simulation选项:

2023-12-20 17:57:48 463

原创 DDR4时序参数整理

tRAS (Active to Precharge Delay): 行选通到预充电的延迟时间,即行选通到下一次行选通开始之间的时间间隔。tRTP (Read to Precharge Delay): 读到预充电的延迟时间,即读操作完成到预充电开始之间的时间间隔。tRP (RAS Precharge Time): 行选通预充电时间,即行选通结束到下一次行选通开始之间的时间间隔。tRCD (RAS to CAS Delay): 行选通到列选通的延迟时间,即发出行地址到发出列地址的时间间隔。

2023-11-06 15:20:40 3904

原创 Verdi 使用技巧

1. 怎么打开波形快一点。

2023-07-23 16:49:47 186 1

原创 VCS 后仿问题总结

处理:如果过SDF文件中写了device delay,则会按device delay处理。如果没写device delay直接忽略就行。这个warning出现在SDF文件中标注output 到output的delay。

2023-07-23 16:45:18 1190 1

原创 CDC跨时钟域问题总结

1.metastability(亚稳态,无法确定是0还是1)造成亚稳态原因:建立保持时间违例时。setup or hold violations lead to metastable states.区别于竞争冒险,竞争冒险是组合逻辑电路问题。解决办法:单bit时,两级或三级同步。2. Reconvergence 问题(多bit数据合并,每bit延时不一致)解决办法:使数据每次只有1bit发生变化(gray encoder)。编码后原时钟打一拍,目的时钟两级同步..

2021-12-29 11:08:23 1375

原创 moore 状态机和mealy状态机的区别

moore 状态机输出只与当前状态有关,mealy状态机输出与当前状态和输入有关。

2021-12-28 10:25:27 3097

原创 Makefile:8: *** missing separator. Stop.

出现这个错误的原因通常是tab格式错误导致的。Makefile的命令行必须以一个tab作为开头,而Makefile中变量的定义、赋值以及Makefile内定函数如$(error “strings”)都不能以TAB开头,不然make会将其作为命令来处理!常用的代码编辑器vim、sublime、vscode等有可能把TAB替换为空格,导致缺少tab。命令,用其他工具补上tab键就行了。...

2021-12-10 11:18:41 1322

原创 makefile = 、:=、+=区别

因为shell只认识字符串,+=就是字符串连接的意思。+=:x本来是 U; x += SB;那么运行结果就是 x为USB;= :看下面的例子: x = sb; y = u$(x) x = all运行结果x 是all y是uall将=改成:= x := sb; y := u$(x) x := all运行结果x是all y是usb怎么样?有感觉了吧。这里=的话算$(x)的时候会一直搜索到...

2021-12-10 10:23:41 113

原创 AXI interleaving。

注意interleving和out of order的区别:一个burst请求是一个transaction,假设transaction的burst length=5。那么数据通道对于一个transaction来说会有5个transfer。假设2个transaction的ID分别为ID0和ID1。每个transaction之间的transfers分别为:ID0_0、ID0_1、ID0_2、ID0_3、ID0_4,每个transfer对应一个beat。out of order:ID请求序列:...

2021-11-01 17:01:30 2881

原创 Verilog时序优化方法

1)逻辑条件判断“A==B”和“A!=B”全部换成“!(A^B)”和“A^B”2)复杂的逻辑条件判断全部单独用一个时钟去判断,如:if(XXXXXXXXXXXXXXXXXXXX)改为如下:reg Flag;Flag <= XXXXXXXXXXXXXXXXXXXX;if(Flag)这种大小判断略微还好一些,对于减法等运算一定不能在if条件中直接计算,否则会导致很差的逻辑时序;3)如果模块数据是经过较为复杂的运算得到的,采用寄存器输出。...

2021-11-01 16:27:40 998

原创 为什么Exclusive access访问?

AMBA AXI exclusive access may look simple at first glance, but as we delve deeper into it, we find the different flavors of exclusive access. The possibility of these different scenarios and combinations poses a tough challenge in verifying the critical fe

2021-08-08 23:14:18 2439

原创 GCC make编译时make: warning: Clock skew detected. Your build may be incomplete.

问题描述:解决办法:1、cd ~2、touch .

2021-06-28 15:25:23 219

原创 sublime text 3 lincense被删除解决办法

将下面下面的内容加入到你的hosts文件127.0.0.1 license.sublimehq.com127.0.0.1 45.55.255.55127.0.0.1 45.55.41.223

2021-04-13 14:37:31 173

原创 三种复位方式

一、特点: 同步复位:用Verilog描述如下: always @ (posedge clk) begin if (!Rst_n) ... end 异步复位:用Verilog描述如下: always @ (posedge clk,negedge Rst_n) begin if (!Rst_n)...

2021-03-25 11:22:28 2565

原创 常用Linux命令

1.修改用户密码:

2021-03-24 11:22:12 52

原创 什么是流水线?

什么是流水线?流水线就是插入寄存器,以面积换取速度。

2021-01-27 11:31:42 492

原创 Verilog 可综合语法

1.多维数组可综合

2020-12-30 11:19:41 370

原创 Verilog 的向量部分位选择+:和-:

变量[起始地址 +: 数据位宽] 变量[(起始地址+数据位宽-1):起始地址]变量[结束地址 -: 数据位宽] 变量[结束地址:(结束地址-数据位宽+1)]data[15 +: 2] data[16:15]data[15 -: 2] data[15:14]data[0 +: 8] data[7:0]data[7 -: 8] data[7:0]

2020-12-28 16:21:28 1023

原创 VNC远程服务器

VNC远程服务器:创建桌面和查看端口号远程连接桌面:

2020-12-16 20:47:21 116

原创 FPGA面积优化

1、什么是面积优化?虽然集成电路是有厚度的,但在单元模块的布局上,各个模块之间是平铺的关系。在完成相同逻辑功能的基础上,减少模块的数量,就可以减少所占的面积,所以叫面积优化...

2019-12-29 22:07:05 1424

modelsim详细使用教程(一看就会).pdf

modelsim详细使用教程(一看就会).pdf

2021-09-09

DFI协议3.0~5.0.rar

DFI协议:DDR_PHY_Interface_Specification__v3_0、DDR_PHY_Interface_Specification__v4_0、DDR_PHY_Interface_Specification__v3_1、DDR_PHY_Interface_Specification__v5_1

2021-09-09

最新verilog ieee 1364-2005 IEEE标准.pdf

最新Verilog语法手册

2021-09-09

人工智能-动物产生式系统代码.c

实验三 动物识别产生式系统 一、实验目的 这是一个简单的动物识别产生式系统教学实例,通过本实验让学生理解并体会知识库与控制系统相互独立的智能产生式系统与一般程序的区别,为以后设计并实现复杂的专家系统奠定基础。 二、实验内容 设计并实现具有15条规则能自动识别7种动物的产生式系统。 三、实验要求 选择c、c++、java等编程语言编写程序,采用基于产生式规则的知识表示方法,正向推理或反向推理机制,根据用户给出的动物特征,实现对动物的自动识别。要求知识库与控制系统相互独立,系统完成后除了能识别已有的7种动物外,按产生式知识表示方法向知识库中添加、修改新的知识后,系统能在不修改控制系统程序的情况

2020-09-15

BP神经网络-蚊子识别问题.c

蚊子的分类问题 已知的两类蚊子的数据如表1: 翼长 触角长 类别 1.78 1.14 Apf 1.96 1.18 Apf 1.86 1.20 Apf 1.72 1.24 Af 2.00 1.26 Apf 2.00 1.28 Apf 1.96 1.30 Apf 1.74 1.36 Af 翼长 触角长 类别 1.64 1.38 Af 1.82 1.38 Af

2020-09-15

人工智能-野人与传教士过河.c

1.问题重述 在河的左岸有N个传教士、N个野人和一条船,传教士们想用这条船把所有人都运过河去,但有以下条件限制: (1)修道士和野人都会划船,但船每次最多只能运K个人; (2)在任何岸边野人数目都不能超过修道士,否则修道士会被野人吃掉。 假定野人会服从任何一种过河安排,请规划出一个确保修道士安全过河的计划。

2020-09-15

FPGA综合讲义.pdf

综合是将电路的高级语言转化为低级的,可与FPGA\CPLD或构成ASIC的门阵列基本结构相映射的网表文件或程序。综合包括编译,转换,调度,分配,控制器综合和结果的生成等几个步骤。综合是将我们的设计转化为FPGA可以读懂的配置文件的第一个步骤。本文档详对FPGA的综合进行了详细介绍。

2020-09-15

人工智能-第四章-非经典推理.ppt

(1)在推理方法上,经典逻辑采用演绎逻辑推理,而非经典逻辑采用归纳逻辑推理。 (2)在辖域取值上,经典逻辑都是二值逻辑,即只有真(True)和假(False)两种,而非经典逻辑都是多值逻辑,如三值、四值和模糊逻辑等 (3)在运算法则上,两者也不大相同。属于经典逻辑的形式逻辑和数理逻辑,它们的许多运算法则在非经典逻辑中就不能成立。例如,三值逻辑就不遵循谓词逻辑中的双重否定法则~(~P)=P。又如,狄摩根定律在一些多值逻辑中也不再成立。这些例子说明,非经典逻辑背弃了经典逻辑的一些重要特性。 本PPT详细介绍了人工智能中的非经典推理

2020-09-15

Endnote-X7中文教程.ppt

EndNote 是SCI(Thomson Scientific 公司)的官方软件,支持国际期刊的参考文献格式有3776 种,写作模板几百种,涵盖各个领域的杂志。您可以方便地使用这些格式和模板,如果您准备写SCI 稿件,更有必要采用此软件。 ⑵EndNote 能直接连接上千个数据库,并提供通用的检索方式,为您提高了科技文献的检索效率。 ⑶EndNote 能管理的数据库没有上限,至少能管理数十万条参考文献。 ⑷EndNote 快捷工具嵌入到Word 编辑器中,您可以很方便地边书写论文边插入参考文献,书写过程中您不用担心插入的参考文献会发生格式错误或连接错误。

2020-09-15

AXI总线中文详解讲解学习.pdf

AXI总线中文详解讲解学习文档详细介绍了AXI协议,在某些关键部分做了标注。文档中大部分为英文手册的翻译,仅供学习交流使用,如有侵权请联系删除。

2020-09-15

汇编指令啊

汇编指令啊

2014-08-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除