【FGPA】RTL级的意思

求科普:RTL级是什么意思 我用FPGA只知道写VERILOG,然后MODELSIM仿真,然后综合。一直看大家说RTL级,这是个什么意思,还有其他级吗?

sdwsh (2012-7-27 13:26:14)
register transfer level
jefby (2012-7-27 13:28:03)
寄存器传输级
wangxuede220 (2012-7-28 16:16:28)
我理解:RTL就是你写的代码
ouyuforever (2012-7-28 17:08:47)
我理解是 你写的verilog代码是“行为级”的,可以综合的行为级叫“RTL级”,综合后叫“门级”
夜尽天明 (2012-7-28 17:19:58)
RTL(寄存器传输级):描述数据在寄存器之间怎么流动和如何处理这些数据的模型。从上到下一次为:系统级,算法级,RTL级,门级,开关级
lara9554 (2012-7-28 21:59:50)
寄存器传输级


来源:http://xilinx.eetop.cn/viewthread-343636

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值