中科院计算机技术研究所张浩,专家人才库数据----中国科学院计算技术研究所...

" [1] Dongrui Fan, etc. Godson-T: An Efficient Many-Core Processor Exploring Thread- Level Parallelism. IEEE Micro, April, 2012.

[2] Dongrui Fan, etc. Godson-T-- High-Efficient Architecture of Godson-T Many-Core  Processor. HotChips. Aug. 2011.

[3] Huimin Cui, Jingling Xue, Lei Wang, Xiaobing Feng, Yang Yang, and Dongrui Fan. “Extendable  Pattern-Oriented Directives”. In Proceedings of 9th Annual IEEE/ACM International Symposium on  Code Generation and Optimization (CGO). Chamonix, France. 2011.

[4] Liu Peng, Guangming Tan, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta, Fenglong Song,  Hao Zhang, Dongrui Fan. “Performance Analysis and Optimization of Molecular Dynamics Simulation  on Godson-T Many-core Processor”. In Proceedings of ACM International Conference on Computing  Frontiers (CF). Ischia, Italy. May 3-5, 2011.

[5] Guoping Long, Diana Franklin, Susmit Biswas, Pablo Ortiz, Jason Oberg, Dongrui Fan,  Frederic T. Chong. “Minimal Multi-Threading: Finding and Removing Redundant Instructions in  Multi-Threaded Processors”. In the proceedings of 43rd International Symposium on  Microarchitecture (Micro). Atlanta, Georgia, USA, 2010. pp.337-348.

[6] Huimin Cui, Lei Wang, Dong-Rui Fan, Xiaobing Feng. “Landing Stencil Code on Godson-T”.  Journal of Computer Science and Technology (JCST). 2010, vol.25, no.4, pp.886-894.

[7] Xiaochun Ye, Dongrui Fan, et al. “High Performance Comparison-Based Sorting Algorithm on  Many-Core GPUs”. In Proceedings of IEEE 24th International Parallel and Distributed Processing  Symposium (IPDPS). Atlanta, Georgia, USA. Apr. 19-23, 2010. pp.1-10.

[8] Fenglong Song, Dongrui Fan, Zhiyong Liu, Junchao Zhang, Lei Yu. “Efficient Address Mapping  of Shared Cache for On-Chip Many-Core Architecture”. In Proceedings of the Sixteenth  International Conference on Parallel Computing (Euro-Par). Ischia-Naples, Italy. August 31st -  September 3rd. 2010. pp.280-291.

[9] Fenglong Song, Zhiyong Liu, Dongrui Fan, Hao Zhang, Lei Yu, Shibin Tang. “Thread Owned  Block Cache: Managing Latency in Many-Core Architecture”. In Proceedings of the Sixteenth  International Conference on Parallel Computing (Euro-Par). Ischia-Naples, Italy, August 31st -  September 3rd, 2010. pp.292-303.

[10] Dongrui Fan, Nan Yuan, Junchao Zhang, et al. Godson-T: An Efficient Many-Core Architecture  for Parallel Program Executions. Journal of Computer Science and Technology (JCST), 2009,  vol.24, no.6, pp.1061-1073.

[11] Nan Yuan, Lei Yu, Dongrui Fan. An Efficient and Flexible Task Management for Many Cores.  LNCS Transactions on High-Performance Embedded Architectures and Compilers, 2009, 4(3).

[12] Guoping Long, Dongrui Fan, Junchao Zhang. “Characterizing and Understanding the Bandwidth  Behavior of Workloads on Multi-core”. In Proceedings of the 15th International Conference on  Parallel Computing (Euro-Par). Delft, the Netherlands. 2009. pp.110-121.

[13] Guoping Long, Dongrui Fan, Junchao Zhang, Fenglong Song, Nan Yuan, Wei Lin. “A  Performance Model of Dense Matrix Operations on Many-core Architectures”. In Proceedings of the  14th International Conference on Parallel Computing (Euro-Par).  Las Palmas de Gran Canaria,  Spain. 2008. pp.120-129.

[14] Dongrui Fan, Zhimin Tang, Hailin Huang, GuangR Gao, et al. “An Energy Efficient TLB  Design Methodology”. In Proceedings of the IEEE & ACM International Symposium on Low Power  Electronics and Design (ISLPED), San Diego USA, August 2005. pp.351-356.

[15] Dongrui Fan, Hongbo Yang, Guangrong Gao et al. “Evaluation and Choice of Various Branch  Predictors for Low-Power Embedded Processor”. Journal of Computer Science & Technology (JCST),  Vol.18 No.6, Nov. 2003. pp.1061-1073.

[16] 徐卫志, 宋风龙, 刘志勇, 范东睿, 余磊, 张帅. 众核处理器片上同步机制和评估方法研究, 计算机学 报, 2010, 33(10):1777-1787.

[17] 叶笑春, 林伟, 范东睿, 张浩. 蛋白质序列比对算法在众核结构上的并行与优化. 软件学报, 2010,  21(12): 3094-3105.

[18] 龙国平, 范东睿. LU分解在Godson-Tv1众核体系结构上的并行化研究. 计算机学报, 2009, 32 (11):2157-2167.

[19] 宋风龙, 刘志勇, 范东睿, 张军超, 余磊. 一种片上众核结构共享Cache动态隐式隔离机制研究. 计算 机学报, 2009, 32(10):1896-1904.

[20] 周永彬, 张军超, 张帅, 张浩. 基于软硬件的协同支持在众核上对1-D FFT算法的优化研究. 计算机学 报, 2008, 31(11):2005-2014.

[21] 袁楠, 范东睿. 高性能代价比的两层关联间接转移预测器. 计算机学报, 2008, 31(11):1898-1906.

[22] 范东睿, 黄海林, 唐志敏. 嵌入式处理器中TLB 设计方法研究. 计算机学报, 2006, 29(5):815-821."

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值