Xilinx ISE 14.1利用Verilog产生clock

<一>建立如下的Verilog Module

module myClock(    

            input clock    

);

endmodule

<二>建立 Verilog Test Fixture

   

module test;

 // Inputs  

reg clock;

 // Instantiate the Unit Under Test (UUT)  

myClock uut (   .clock(clock)  );

 initial begin  

 // Initialize Inputs   

clock = 0;

  // Wait 100 ns for global reset to finish              

// Add stimulus here   

 end  

always #0.001 clock = ~clock;

endmodule

<三>结果

转载于:https://www.cnblogs.com/LCCRNblog/p/3408796.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值