基于FPGA的图像处理(二)--System Generator入门

         System generator 安装之后会在Simulin模块库中添加一些Xilinx FPGA专用的模块库,包括Basic Element,Communication,Control Logic,DataTypes,DSP,Math,Memory,Shared Momory,Tool等模块库,只有使用这里的模块才能进行FPGA算法的仿真以及进行综合等等。

         使用这些模块就可以简单的选一些模块,连一些线就能自动生成你需要的HDL代码,将开发人员从底层枯燥的代码编写中解脱出来,将更多的精力放在算法上,非常完美的体现了工具为人服务的理念。

      最新的ISE14.2即Vavido已经能够使用C和C++生成HDL代码,那个主要是针对Xilinx公司7系列的FPGA,有兴趣的同学可以尝试。另外Matlab2012将HDL coder作为一个独立的工具添加进来,已经能够支持Xilinx和Altera公司的FPGA。

    

一、System Generator快速入门


1.Xilinx Blockset库的基本介绍

System Generator和Simulink是无缝链接的,可以在MATLAB标准工具栏中直接启动,如图8-9所示。这些模块都根据其功能划分为不同的库,为了易于使用,又在某些库中添加了部分有广泛应用的模块,所有的模块都按字母顺序排列在Xilinx Index库中。读者需要注意的是:在Simulink环境中,只有通过Xilinx模块搭建的系统才能保证硬件可实现,其地位类似于HDL语言中的可综合语句。



图7-9 Xilinx DSP模块集

从设计流程中可以看出,熟悉Xilinx DSP基本模块库是设计流程中的关键环节,只有掌握了基本模块的特性和功能,才能更好地实现算法。由Xilinx模块库和System Generator一起,可生成Xilinx可编程器件的最优逻辑,这属于最低层的设计模块,地位等效于IP Core,共有90多个。Xilinx模块库简要说明如表7-1所列。

表7-1 System Generator库的简要说明

1.基本单元模块

基本单元模块库中包含了数字逻辑的标准组件模块,使用这些模块可插入时间延迟、改变信号速率、引入常数、计数器以及多路复用器等。此外,还包含了3个特殊的模块System Generator标志、黑盒子模块(Black Box)以及边界定义模块,后文将对其进行详细说明。该库中简要的模块说明如表8-2所列。

表7-2 基本单元模块的说明列表

2.通信模块

通信应用是FPGA的主要应用领域之一,因此Xilinx的通信模块库提供了用于实现数字通信的各种函数,包括卷积编解码、RS编解码以及交织器等模块。该库中简要的模块说明如表8-3所列。

表7-3 通信模块的说明列表

3.控制逻辑模块

控制逻辑主要包括了用于创建各种控制逻辑和状态机的资源,包括了逻辑表达式模块、软核控制器、复用器以及存储器,其简要说明如表8-4所列。

表7-4 控制逻辑模块的说明列表

4.数据类型模块

数据类型模块主要用于信号的数据类型转换,包括移位、量化、并/串、串/并转换以及精度调整模块,其简要说明如表8-5所列。

表7-5 数据类型模块的说明列表

5.DSP模块

DSP模块是System Generator的核心,该库包含了所有常用的DSP模块,其简要说明如表8-6所列。

表7-6 DSP模块的说明列表

6.数学运算模块

数学运算是任何程序所不可避免的,Xilinx提供了丰富的数学运算库,包括基本四则运算、三角运算以及矩阵运算等,其简要说明如表8-7所列。

表7-7 数学运算模块的说明列表

7.存储器模块

该库包含了所有Xilinx存储器的Logic Core,其简要说明如表7-8所列。

表7-8 存储器模块的说明列表

8.共享储存器模块

共享存储器模块主要用于共享存储器操作,相关内容将在7.4.3节展开讨论。

表7-9 共享存储器模块的说明列表

9.工具模块

工具模块包含了FPGA设计流程中常用的ModelSim、ChipScope、资源评估等模块以及算法设计阶段的滤波器设计等模块。该库的模块在设计中起辅助作用,都是设计工具,一般不能生成HDL设计,其简要说明如表8-10所列。

表7-10 工具模块的说明列表

  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值