VCS仿真查看coverage

VCS仿真查看coverage


Design compiler & simulate


#!/bin/csh -f
setenv NOVAS_HOME /EDASynopsys/verdi3-I-201403-SP1
setenv NOVAS_PLI ${NOVAS_HOME}/share/PLI/VCS/LINUX64
setenv LD_LIBRARY_PATH $NOVAS_PLI

setenv NOVAS  "${NOVAS_HOME}/share/PLI/VCS/LINUX64"

#用于fsdb波形文件dump
setenv novas_args  "-P $NOVAS/verdi.tab $NOVAS/pli.a "


vcs +v2k -sverilog +vcs+lic+wait -full64 -debug_pp \
       +warn=noCDNYI,noIPDW,noILLGO,noTMR,noPHNE,noIRIID-W \
       -Mupdate +notimingcheck +nospecify \
       ${novas_args}\
       -f file.f    \
       -cm cond+fsm+line  \            #VCS creates the simv.vdb directory (the coverage metrics database) 

./simv  -cm cond+fsm+line              #simulate

生成默认的simv.vdb目录,coverage的数据就在里面

查看coverage(三种方法)


  • 使用urg处理后查看

urg处理,默认生成urgReport目录

urg -full64 -dir simv.vdb

urgReport目录下firefox浏览器查看tests.html

847278-20161121195707237-1979143870.png

  • 使用verdi查看

verdi -cov -covdir simv.vdb   

847278-20161121195724909-1080973272.png

  • 使用dve查看

dve -covdir simv.vdb

847278-20161121195733706-21158846.png

参考资料


[1]. CoverageTut.pdf (verdi手册目录下)
[2]. cov_ref.pdf (vcs手册目录下)
[3]. cov_ug.pdf (vcs手册目录下)

转载于:https://www.cnblogs.com/OneFri/p/6086733.html

  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值