【原创】VHDL error at <location>: can't write to interface object "<name>" of mode IN

今天做 VHDL homework 的时候出现了这样的错误,求助于百度谷歌无果,去查了下Quartus II的Help,果然牛,找出原因了~

截图如下:

2010123015443568.jpg

仔细看了下,原来是有个PORT我忘了加上 “OUT” 了... VHDL初学,粗心的菜鸟,呵呵...

我的错误出处是:count_A, count_B: INTEGER RANGE 0 TO 31

改正成:count_A, count_B: OUT INTEGER RANGE 0 TO 31

我这写出来,同样遇到问题的朋友就百度谷歌得到了,呵呵~ 查不出错的时候记得问Help哦~

转载于:https://www.cnblogs.com/mark_lewis/archive/2010/12/30/1922206.html

  • 5
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值