testbench——双向端口的仿真

双向端口(inout)可以通过引脚复用来节省引脚。

在控制信号控制下,inout既可作为输入input也可作为输出output,因此对其仿真描述不当可能会引起仿真错误。当然,不只在testbench中,在程序中对inout口一般也是要做特殊处理的。

testbench:

`timescale 1ns / 1ps


// Company: 
// Engineer:
//
// Create Date:   12:01:57 07/11/2017
// Design Name:   work
// Module Name:   C:/Users/gao/Desktop/isetest/work/testbench.v
// Project Name:  work
// Target Device:  
// Tool versions:  
// Description: 
//
// Verilog Test Fixture created by ISE for module: work
//
// Dependencies:
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 


module testbench;

	// Inputs
	reg clk;
	reg rst;

	// Outputs
	wire inout_vld;

	// Bidirs
	wire [3:0] inout_data;
	
	reg [3:0] data_in;


	initial begin
		clk = 0;
		forever #5 clk = ~clk;
	end

	initial begin
		rst = 0;
		#7 rst = 1;
		#16 rst = 0; 
  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值