Verilog经典输入控制/激励信号模板1

reg [3:0]i; 
    
       always @ ( posedge CLOCK or negedge RESET ) 
           if( !RESET ) 
               begin 
                   i <= 4'd0; 
                   Start_Sig <= 1'b0; 
                   WrData <= 8'd0; 
               end              
             else  
                 case( i ) 
                    
                        0:  
                        if( Done_Sig ) begin Start_Sig <= 1'b0; i <= i + 1'b1; end 
                        else begin WrData <= 8'd8; Start_Sig <= 1'b1; end 
                         
                        1: 
                        if( Done_Sig ) begin Start_Sig <= 1'b0; i <= i + 1'b1; end 
                        else begin WrData <= 8'd9; Start_Sig <= 1'b1; end 
                         
                        2: 
                        if( Done_Sig ) begin Start_Sig <= 1'b0; i <= i + 1'b1; end 
                        else begin WrData <= 8'd10; Start_Sig <= 1'b1; end 
                         
                        3: 
                        begin i <= i; end 
                    
                  endcase 

 

 initial                                                 
      begin                                                   
          RSTn = 0; #10; RSTn = 1; 
          CLK = 0; forever #10 CLK = ~CLK;          
       end     

 

转载于:https://www.cnblogs.com/shaogang/p/4213645.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值