NSAssert使用摘抄

#define NSAssert(condition, desc, ...)  

只有条件condition满足,才会执行下一个语句,否则输出断言错误。

例如:

NSAssert(1 != 2, @"1怎么会等于2");  

当1等于2时,执行该程序就Xcode就会报错

转载于:https://www.cnblogs.com/zhaozhongpeng/p/4867621.html

您的问题是如何用vhdl编写测试平台来测试序列信号发生器电路? 以下是一个示例测试平台的代码,用于测试您提供的序列信号发生器电路。这个测试平台包括一个时钟信号和一个复位信号,以及一个输出信号。在仿真期间,时钟信号的周期为50ns,复位信号在20ns位置有一个宽度为30ns的高电平脉冲。仿真时间为1000ns,并且在仿真过程中,如果测试失败,将会触发一个assert false语句。 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; architecture sim of testbench is component sigout port ( clk : in std_logic; rst : in std_logic; cout : out std_logic ); end component; signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal cout : std_logic; begin uut : sigout port map ( clk => clk, rst => rst, cout => cout ); -- Clock Generation clk_gen : process begin clk <= '0'; loop wait for 25 ns; clk <= not clk; end loop; end process; -- Reset Generation rst_gen : process begin rst <= '1'; wait for 20 ns; rst <= '0'; wait for 30 ns; rst <= '1'; wait; end process; -- Stimulus stim : process begin wait for 10 ns; assert cout = '1' report "Test Failed: q = 000" severity error; wait for 40 ns; assert cout = '1' report "Test Failed: q = 001" severity error; wait for 40 ns; assert cout = '1' report "Test Failed: q = 010" severity error; wait for 20 ns; assert cout = '0' report "Test Failed: q = 011" severity error; wait for 20 ns; assert cout = '0' report "Test Failed: q = 100" severity error; wait for 40 ns; assert cout = '1' report "Test Failed: q = 101" severity error; wait for 20 ns; assert cout = '0' report "Test Failed: q = 110" severity error; wait for 10 ns; assert false report "Test Passed!" severity note; wait; end process; end sim; 请注意,在实际的工程中,您需要根据您的具体需求来修改测试平台的代码。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值