linux环境下,使用IUS9.2(也就是ncsimulator)产生fsdb波形文件的完整方法(原创)...

 

众所周知,VCD格式的波形文件是verilog语法标准里支持的格式,所有的仿真工具都会支持这一格式。

但是VCD格式的文件有很一个非常显著的缺点,那就是,它dump的文件实在是有点太大,尤其是你的设计很庞大,或者仿真运行的时间很长的话,

文件将大的让你不能忍受!我就曾经产生了一个几百GB的超巨大的波形文件。结果,文件太大,无法使用。

所以,很有必要使用一种比VCD文件小十数倍甚至数十倍的波形文件格式fsdb格式。

fsdb格式不是所有的仿真工具都支持的,它必须借助第三方工具Novas来产生。

为了产生fsdb文件,费了我不少功夫,现在记录如下,供大家参考:

以下设置均是在RedHat服务器设置并验证通过。

前提条件,必须按照Novas软件。我使用的是novas0703。


1,在ncelab里面,需要使用-access +wrc +define+fsdb。


2,在环境变量设置文件里,如.cshrc文件里,加入如下代码:
setenv SHLIB_PATH /<install_dir>/share/PLI/ius5.8_vhpi/LINUX64/:LD_LIBRARY_PATH
setenv LD_LIBRARY_PATH /<install_dir>/share/PLI/ius5.8_vhpi/LINUX64/:D_LIBRARY_PATH


3,在testbench中加入相关的dump函数,如
initial
begin
$fsdbDumpfile("case9.fsdb");
$fsdbDumpvars(0,**);
end
注意fsdbDumpfile的D是大写,否则会报错!


4,重新开启terminal,运行,fsdb文件已经产生,enjoy it!

 

转载于:https://www.cnblogs.com/zeushuang/archive/2012/11/14/2770078.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值