自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

chenmy

chenmy

  • 博客(1)
  • 资源 (11)
  • 收藏
  • 关注

原创 【L001】Ubuntu20.04域名解析失败或网速很慢

问题解决:Ubuntu20.04域名解析失败或网速很慢

2020-05-10 13:29:43 10969 1

Xilinx xdma IP核配套Windows 驱动 Win7 Win10

Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10 都有 Xilinx xdma IP核配套Windows 驱动,Win7 Win10

2023-04-13

适合所有版本,期限最长功能最多的_Vivado_的license文件

适合所有版本,期限最长功能最多的_Vivado_的license文件

2022-09-15

xapp-1052.zip

xilinx pcie DMA xapp1052 参考设计包 含fpga设计,含代码,含软件驱动上位机

2020-04-18

vivado的IP license

Xilinx 工具的所有license,包括vivado,ise,及各种IP的license,比如JESD,SRIO,XDMA

2020-03-29

sublime text3 verilog&VHDL;语言专版

sublime text3 verilog&VHDL;语言专版 功能异常强大 大幅度提高编码效率 1.自动生成例化文件,自动生成tb文件、自动生成文件头注释。 2.自动补全代码 3.自动生成模板

2018-11-08

Writing Testbenches using SystemVerilog

此外,你也可以使用System Verilog来替代testbench,这样效率会更高一些。如果你是做IC验证的,就必须掌握System Verilog和验证方法学(UVM)。

2018-08-07

TCL_TK入门经典

因为Quartus和ISE的编辑器功能太弱,影响了开发效率。所以建议使用Sublime text编辑器中代码片段的功能,以减少重复性劳动。Modelsim也是常用的仿真工具,学会TCL/TK以编写适合自己的DO文件,使得仿真变得自动化,推荐的教材是《TCL/TK入门经典》。

2018-08-07

002_IP核芯志-数字逻辑设计思想

你可能发现你综合出来的电路尽管没错,但有很多警告。这个时候,你得学会同步设计原则、优化电路,是速度优先还是面积优先,时钟树应该怎样设计,怎样同步两个异频时钟等等。推荐的教材是《FPGA权威指南》、《IP核芯志-数字逻辑设计思想》

2018-08-07

FPGAs: World Class Designs

你可能发现你综合出来的电路尽管没错,但有很多警告。这个时候,你得学会同步设计原则、优化电路,是速度优先还是面积优先,时钟树应该怎样设计,怎样同步两个异频时钟等等。推荐的教材是《FPGA权威指南》、《IP核芯志-数字逻辑设计思想》、《Altera FPGA/CPLD设计》第二版的基础篇和高级篇两本。

2018-08-07

《WRITING TESTBENCHES Functional Verification of HDL Models》

这里你没必要每次编译通过就下载代码,咱们用modelsim仿真(此外还有QuestaSim、NC verilog、Diamond的Active-HDL、VCS、Debussy/Verdi等仿真工具),如果仿真都不能通过那就不用下载了,肯定不行的。在这里先掌握简单的testbench就可以了。推荐的教材是《WRITING TESTBENCHES Functional Verification of HDL Models》。

2018-08-07

system verilog_IEEE官方标准手册-2012_IEEE_P1800

systemverilog 语法标准手册 你手上必须准备Verilog或者VHDL的官方文档,《verilog_IEEE官方标准手册-2005_IEEE_P1364》、《IEEE Standard VHDL Language_2008》,以便遇到一些语法问题的时候能查一下。

2018-08-07

VHDL_IEEE官方标准手册-2008_IEEE_1076

你手上必须准备Verilog或者VHDL的官方文档,《verilog_IEEE官方标准手册-2005_IEEE_P1364》、《IEEE Standard VHDL Language_2008》,以便遇到一些语法问题的时候能查一下。

2018-08-07

verilog_IEEE官方标准手册-2005_IEEE_P1364

你手上必须准备Verilog或者VHDL的官方文档,《verilog_IEEE官方标准手册-2005_IEEE_P1364》、《IEEE Standard VHDL Language_2008》,以便遇到一些语法问题的时候能查一下。

2018-08-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除