[连载计划][大家一起学FPGA/SOPC]

说明

未完成的文档,没有链接。

感言

大量反馈表明:许多FPGA初学者,在学习进程中,刚开始大多是激情万丈,但是倘若是长期不得入门要领,有一些人便会逐渐丧失学习FPGA的兴趣和信心。这是为什么呢?究其原因,不外乎以下几点:

  1. 目前市面上FPGA开发板的销售厂商数量众多,但是大多数情况下,厂商提供给FPGA初学者,仅是一些简单的例程代码和一些七凑八凑的文档和资料,严重缺乏针对FPGA入门的手把手系列文档。
  2. 市面上的FPGA设计相关书籍,有不少都是互相抄袭,翻译外文而来。虽然内容涵盖面较广,但是有些书抄的就是不得要领,对某些细节问题闪烁其辞,误导初学者。
  3. 大部分FPGA初学者没有养成勤读手册的习惯。一般情况下,我们所不了解的技术细节,在相应的手册中,都有翔实的描述。虽然大部分手册都是英文的,但是常看手册,不仅可以提高我们发现技术问题解决技术问题的能力,相应地也会提高我们的英文阅读能力。
  4. 浮躁,一部分FPGA初学者,盲目收集过多项目实例,蜻蜓点水,严重缺乏对基础知识的研究。

针对现状,(暂时省略废话10000句)……

目录

第一部分 软件入门篇

  1. Quartus II 10.0 安装指南
  2. 使用Verilog设计的Quartus II入门指南
  3. 使用Verilog设计的ModelSIm入门指南

第二部分 Verilog HDL入门篇

1 组合电路
  1. 逻辑门电路
  2. 多路选择器与多路分解器
  3. 编码器与译码器
  4. 算术运算电路
2 时序电路
  1. 触发器与锁存器
  2. 寄存器
  3. 移位寄存器
  4. 二进制计数器
  5. FIFO缓存
  6. 分频器
  7. 有限状态机FSM
  8. 带数据路径的有限状态机FSMD

3 重要话题

  1. 阻塞赋值与非阻塞赋值
  2. 参数与常量
  3. 使用有符号数
  4. 在综合中使用函数
  5. 测试平台(testbench)的结构

第三部分 Verilog HDL演练篇

1 外设实验
  1. 跑马灯
  2. 流水灯
  3. 七段数码管
  4. 按键消抖
  5. 4x4矩阵键盘
  6. LCD1602
  7. PS2鼠标
  8. PS2键盘
  9. VGA图像
  10. VGA文字
  11. PWM步进电机
  12. TLC549串行ADC
  13. TLC5620串行DAC
  14. 74HC595

。。。

2 存储器实验
  1. 片上ROM
  2. 片上RAM
  3. 片上FIFO
  4. SRAM

。。。

3 接口实验
  1. UART收发器
  2. SPI主从控制器

。。。

4 算法入门实验
  1. 加法器
  2. 乘法器
  3. 除法器
  4. CORDIC计算正余弦值

。。。

第四部分 SOPC篇

Nios II性能问题
定时器问题
OS问题
DMA问题
中断的问题
固化程序的问题
自定义外设的问题
案例分析:简易数码相框

第五部分 时序约束篇

。。。

第六部分 软件技巧篇

1 软件技巧
2 疑难杂症
3 FAQ

。。。

推荐博客

。。。

参考

0. Terasic.DE2_115_v.1.0_CDROM>DE2_115_tutorials

1. Stephen Brown, Zvonko Vranesic .Fundamentals of Digital Logic with Verilog Design 2nd Edition.Mc Graw Hill

2. 黄稚存.Summary for IEEE Verilog 1363-2001

3. Altera.Verilog设计范例

4. Altera.Recommended HDL Coding Styles

5. 卓兴旺.基于Verilog HDL的数字系统应用设计 第2版.国防工业出版社

6. 周立功.EDA实验与实践.北京航天航空大学出版社

7. 刘福奇, 刘波.Verilog HDL应用程序设计实例精讲.电子工业出版社

8. Pong P. Chu.FPGA Prototyping By Verilog Examples: Xilinx Spartan-3 Version.Wiley

9. fpga4fun

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值