河北科技大学计算机辅助制造试题,EDA关键技术考试试卷.doc

河北科技大学第二学期

《EDA技术》考试试卷

学校 河北科技大学 班级 市场营销 姓名 学号

题号

得分

一名词解释

EDA

EDA是 电子设计自动化(Electronic Design Automation)缩写,在20世纪60年代中期从 计算机辅助设计(CAD)、 计算机辅助制造(CAM)、 计算机辅助测试(CAT)和 计算机辅助工程(CAE)概念发展而来。

VHDL

VHSIC(Very High Speed Integrated Circuit)Hardware Description Language是一个超大规模集成电路,是为满足军用高速信号处理、抗核辐射、故障容限和芯片自检测要求而研制。

CPLD

CPLD(Complex Programmable Logic Device)复杂 可编程逻辑器件,是从PAL和GAL器件发展出来器件,相对而言规模大,结构复杂,属于 大规模集成电路范围。是一个用户依据各自需要而自行结构逻辑功效 数字集成电路

FPGA

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件基础上深入发展产物。它是作为专用集成电路(ASIC)领域中一个半定制电路而出现,既处理了定制电路不足,又克服了原有可编程器件门电路数有限缺点。

异步复位

异步复位:它是指不管时钟沿是否到来,只要复位信号有效,就对系统进行复位

二1利用VHPL语言设计数字系统含有哪些特点?

VHDL系统设计基础点:

(1)和其它硬件描述语言相比,VHDL含有以下特点:

(2)功效强大、设计灵活。

(3)强大系统硬件描述能力。

(4)易于共享和复用。

2举例说明FPDA是怎样经过查找表实现其逻辑功效?

在计算机科学中,查找表是用简单查询操作替换运行时计算数组或 associative array 这么数据结构。因为从内存中提取数值常常要比复杂计算速度快很多,所以这么得到速度提升是很显著。

一个经典例子就是三角表。每次计算所需正弦值在部分应用中可能会慢得无法忍受,为了避免这种情况,应用程序能够在刚开始一段时间计算一定数量角度正弦值,譬如计算每个整数角度正弦值,在后面程序需要正弦值时候,使用查找表从内存中提取临近角度正弦值而不是使用数学公式进行计算。

在计算机出现之前,大家使用类似表格来加紧手工计算速度。很流行表格有三角、对数、统计 density 函数。另外一个用来加紧手工计算工具是滑动计算尺。

部分折衷方法是同时使用查找表和插值这么需要少许计算量方法,这种方法对于两个估计算值之间部分能够提供更高精度,这么稍微地增加了计算量不过大幅度地提升了应用程序所需精度。依据预先计算数值,这种方法在保持一样精度前提下也减小了查找表尺寸/

在图像处理中,查找表常常称为LUT,它们将索引号和输出值建立联络。颜色表作为一个一般 LUT 是用来确定特定图像所要显示颜色和强度。

另外需要注意一个问题是,尽管查找表常常效率很高,不过假如所替换计算相当简单话就会得不偿失,这不仅仅因为从内存中提取结果需要更多时间,而且因为它增大了所需内存而且破坏了高速缓存。假如查找表太大,那么几乎每次访问查找表全部回倒置 cache miss,这在处理器速度超出内存速度时候愈发成为一个问题。在编译器优化 rematerialization 过程中也会出现类似问题。在部分环境如Java 编程语言中,因为强制性边界检验带来每次查找附加比较和分支过程,所以查找表可能开销更大。

何时构建查找表有两个基础约束条件,一个是可用内存数量;不能构建一个超出能用内存空间表格,尽管能够构建一个以查找速度为代价基于磁盘查找表。另外一个约束条件是初始计算查找表时间——尽管这项工作不需要常常做,不过假如花费时间不可接收,那么也不适合使用查找表。

3简述用QUA RTUS开发数字系统过程

(1). 逻辑设计。首先要使用数字电路基础设计方法设计数字系统,组合逻辑用组合逻辑设计方法,时序逻辑用时序逻辑设计方法。设计完成后,使用硬件描述语言(Verilog或VHDL)输入QuartusII进行综合。

(2). 仿真验证阶段。编译无误后,使用调试工具(QuartusII自带向量波形文件或ModelSim)对综合生成结果进行仿真。首优异行功效仿真(Functional Simulation)以验证逻辑是否正确。功效仿真无误后,进行时序仿真(Timing Simulation)验证电路功效是否正常。

(3). 下载调试阶段。时序仿真结果无误后,将生成电路下载进入对应FPGA或CPLD芯

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值