《逻辑与计算机设计基础(原书第5版)》——导读


image


**
前言
**
本书的目的是为广大读者提供学习逻辑设计、数字系统设计和计算机设计的基础知识。本书第5版突出了课程内容方面的最新发展。从1997年的第1版开始,作者就不断对其进行修改,提供一种独一无二的将逻辑设计与计算机设计原理结合在一起的方法,并特别强调硬件。过去几年,教材一直紧跟行业的发展趋势,新增加了一些内容(如硬件描述语言),删除或者弱化了某些不太重要的内容,修改了某些内容以反映计算机技术和计算机辅助设计所发生的变化。
新版的变化
第5版反映了相关技术与设计实践方面的一些变化,与过去相比,要求计算机系统设计者在一个更高的层次上进行设计抽象并管理更大范围的复杂性问题。在不同抽象层次进行逻辑、数字系统和计算机设计所涉及的内容已经不能同日而语,本书新版的目的就是要在计算机系统设计特别是在逻辑层次上更为有效地弥补现在的教学状况与工业实现之间的鸿沟。同时,新版仍然保持着过去的章节组织,方便教师针对电气与计算机工程和计算机科学专业的学生根据需要选讲部分内容。新版的变化包括:
第1章的更新包括对计算机系统抽象层次的讨论,以及它们的作用,还简要介绍了数字设计的过程。为加强国际化,第1章还有一些关于字符编码的新内容。
本书在第2章就开始介绍硬件描述语言(HDL),比以前的版本更早。对于涉及组合和时序逻辑设计的章节,都会给出电路的HDL描述以及逻辑框图与状态图,从而表明在当代数字系统设计实践中HDL变得日益重要。关于传播延迟(数字系统基本的一阶设计约束)的内容已经移到了第2章。
第3章结合原来第3章中有关功能模块的内容和原来第4章中有关算术电路模块的内容,展现一组常见的组合逻辑功能模块,这些功能模块的HDL模型在本章随处可见。第3章介绍分层设计的概念。
时序电路出现在第4章。这一章包括原来第5章中对设计过程的描述和原来第6章中的时序电路定时、输入同步和亚稳态的相关知识。有关JK触发器和T触发器的描述放到了教材的配套网站上。
第5章讨论一些与数字硬件实现相关的话题,包括互补金属氧化物(CMOS)门和可编程逻辑的设计。除包含原来第6章中的大部分内容外,现在的第5章还简要地介绍了测试与验证对设计成本的影响。由于使用本教材的很多课程都用现场可编程门阵列(FPGA)来进行实验练习,所以我们对FPGA的叙述进行了扩充,通过一个简单的、基本的FPGA结构来讲解许多商用FPGA系列中都会出现的基本可编程元器件 。
剩下的章节(包括计算机设计在内)已经进行了更新,以便反映从上一个版本以来出现的最新变化。重要的更新包括将高阻缓冲器从原来的第2章移动到6.8节中,以及在第9章增加了如何在高级语言中用过程调用和返回来实现函数调用的相关讨论。
除了提供完整的数字和计算机设计内容之外,第5版还特别强调现代设计的基本原理。从简单的组合逻辑应用到在RISC核上构建CISC结构,多个例子的清晰解释和渐进式的设计过程可以诠释书中内容。完整的传统内容包括计算机辅助设计、问题形式化、解决方案验证,以及综合能力培养,而灵活性则体现在可选的逻辑设计、数字系统设计和计算机设计,以及硬件描述语言的相关内容中(不选、选用VHDL或选用Verilog)。
经过这次修订,本书第1~4章讲解逻辑设计,第5~7章讨论数字系统设计,第8~12章重点介绍计算机设计。这样的安排可以逐渐地、自底向上地完成各种函数设计,并将其应用到后续章节自顶向下的计算机设计中,为读者提供牢固的数字系统设计基础。下面是各章相关内容的概括。

目录

第1章 1.0数字系统与信息
1.1 信息表示
1.2 计算机系统设计的抽象层次
1.3 数制
1.4 算术运算
1.5 十进制编码
1.6 字符编码
1.7 格雷码
1.8 本章小结
1.9 习题
第2章 2.0组合逻辑电路
2.1 二值逻辑和逻辑门
2.2 布尔代数
2.3 标准形式
2.4 两级电路的优化
2.5 卡诺图的化简
2.6 异或操作和异或门
2.7 门的传播延迟
2.8 硬件描述语言简介
2.9 硬件描述语言—VHDL
2.10 硬件描述语言—Verilog
2.11 本章小结
2.12 习题
第3章 3.0组合逻辑电路的设计
3.1 开始分层设计
3.2 工艺映射
3.3 组合功能模块
3.4 基本逻辑函数
3.5 译码
3.6 编码
3.7 选择
3.8 迭代组合电路
3.9 二进制加法器
3.10 二进制减法
3.11 二进制加减法器
3.12 其他的算术功能模块
3.13 本章小结
3.14 习题

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值